References

Preface

  1. Darche, P. (2000). Architecture des ordinateurs – Représentation des nombres et codes – Cours avec exercices corrigés. Collection Support IUT. Éditions Gaëtan Morin. November.
  2. Darche, P. (2002). Architecture des ordinateurs – Fonctions booléennes, logiques combinatoire et séquentielle – Cours avec exercices et exemples en VHDL. Éditions Vuibert. March.
  3. Darche, P. (2003). Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Editions Vuibert. June.
  4. Darche, P. (2004). Architecture des ordinateurs - Logique booléenne: implémentations et technologies. Editions Vuibert. November.
  5. Darche, P. (2012). Mémoires à semi-conducteurs: principe de fonctionnement et organisation interne des mémoires vives - Volume 1. Editions Vuibert. January. Un des quatre ouvrages sélectionnés pour le prix AFISI (Association Française d’Ingénierie des Systèmes d’Information) du meilleur livre informatique.

Chapter 1

  1. Anderson, T.E., Culler, D.E., and Patterson, D.A. (1995). A case for NOW (Networks of Workstations). IEEE Micro, 15(1), 54–64. February.
  2. Andrews, E.G. (1963). Telephone switching and the early bell laboratories. The Bell System Technical Journal (BSTJ), 341–353. March. Also in (La Porte and Stibitz 1982, 13–19).
  3. Andrews, E.G. and Bode, H.W. (1950). Use of the relay digital computer. Electrical Engineering, 69(2), 158–163. February. Also in (La Porte and Stibitz 1982, p. 5–13).
  4. Architecture Technology Corporation (1991). Minisupercomputers. Architecture Technology Corporation Report. September.
  5. Arnold, B. (2009). Shrinking possibilities. IEEE Spectrum, 46(4), 26–28 and 50–56. April.
  6. Ascher, M. (1983). The logical-numerical system of Inca quipus. IEEE Annals of the History of Computing, 5(3), 268–278. July.
  7. Aspray, W. (ed.) (1990). Computing Before Computers. Iowa State University Press.
  8. Bardeen, J. and Brattain, W.H. (1948). The transistor, a semi-conductor triode. Physical Review, 74(2), 230–231. July 1948. Republished in Proceedings of the IEEE, 86(1), 29–30. January.
  9. Bardeen, J. and Brattain, W.H. (1950). Three-Electrode Circuit Element Utilizing Semiconductive Materials. American patent no. 2524035. Application number: US3346648A. Application date: June 17, 1948. Publication date: October 3.
  10. Belak, J. (1993). Harnessing the killer micros: Applications from LLNL’s massively parallel computing initiative. Theoretica Chimica Acta, 84(4), 315–323. January.
  11. Bell, C.G. (1986). Toward a history of (Personal) workstations. ACM Conference on the History of Personal Workstations. Conference date: January 9–10. Also in (Goldberg 1988, 1–50).
  12. Bell, C.G. (2008a). Bell’s law for the birth and death of computer classes. Communications of the ACM (CACM), 51(1) 50th Anniversary Issue: 19582008, 86–94. January.
  13. Bell, C.G. (2008b). Bell’s law for the birth and death of computer classes: A theory of the computer’s evolution. IEEE Solid-State Circuits Society (ISSCS) Newsletter, 13(4), 8–19. Fall.
  14. Bell, G. (2014). STARS: Rise and fall of minicomputers (Scanning Our Past). Proceedings of the IEEE, 102(4), 629–638. April.
  15. Besk, G.R., Yen, D.W.L, and Anderson, T.L. (1993). The cydra 5 minisupercomputer: Architecture and implementation. The Journal of Supercomputing, 7(1/2) Special Issue on Instruction-Level Parallelism, 143–180. May.
  16. Brinkman, W.F. (1997). A history of the invention of the transistor and where it will lead us. IEEE Journal of Solid-State Circuits (JSSC), SC-32(12), 1858–1865. December.
  17. Bromley, A.G. (1982). Charles babbage’s analytical engine, 1838. IEEE Annals of the History of Computing, 4(3), 196–217. July.
  18. Bromley, A.G. (1987). Charles babbage’s tabulations using the 1832 model of difference engine no. 1. Technical Report 304. Basser Department of Computer Science, The University of Sydney, Australia. April.
  19. Brooks III, E.D. (1989). Attack of the killer micros. Teraflop computing panel. Supercomputing’89. Conference date: November 13–17. Conference location: Reno, Nevada, USA.
  20. Burger, R.M., Cavin III, R.K., Holton, W.C., and Sumney, L.W. (1984). The impact of ICs on computer technology. IEEE Computer, 17(10), 88–95. October.
  21. Burley, R.M. (1987). An overview of the 4 systems in the VAX 8800 family. Digital Technical Journal, 1(4), 10–19. February.
  22. Bush, V. (1931). The differential analyzer: A new machine for solving differential equations. Journal of The Franklin Institute, 212(4), 447–488. October.
  23. Bush, V. and Caldwell, S.H. (1945). A new type of differential analyzer. Journal of The Franklin Institute, 240(4), 255–326. October.
  24. Campbell-Kelly, M. (1987). Charles babbage’s table of logarithms (1827). Research Report 106 (RR106). Department of Computer Science, University of Warwick. September.
  25. Campbell-Kelly, M. (1988). Charles babbage’s table of logarithms (1827). IEEE Annals of the History of Computing, 10(3), 159–169. July/September.
  26. Carson, J.H. (ed.) (1979). Tutorial: Design of microprocessor systems. Initially presented at Tutorial Week 79, December 10–14, 1979, San Diego, California, USA. Institute of Electrical and Electronics Engineers (IEEE).
  27. Cass, S. (2005). Genius on the block: The foundations of the computing age go up for auction. IEEE Spectrum, 42(7), 40–45. July.
  28. Ceruzzi, P.E. (2003). Zuse computers. Encyclopedia of Computer Science, 4th edition, 1876–1877. John Wiley and Sons Ltd.
  29. Ceruzzi, P.E. (2013). Inventing the computer (Scanning Our Past). Proceedings of the IEEE, 101(6), 1503–1508. June.
  30. Computer World (1976). Cray-1 has power of five 370/195s. Computer World, 21, August 23.
  31. Darche, P. (2000). Architecture des ordinateurs - Représentation des nombres et codes - Cours avec exercices corrigés. Collection Support IUT. Edition Gaëtan Morin. November.
  32. Darche, P. (2002). Architecture des ordinateurs - Fonctions booléennes, logiques
  33. combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Edition Vuibert. March.
  34. Darche, P. (2003). Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Editions Vuibert. June.
  35. Darche, P. (2004). Architecture des ordinateurs - Logique booléenne: implémentations et technologies. Editions Vuibert. November.
  36. Darche, P. (2012). Mémoires à semi-conducteurs: principe de fonctionnement et organisation interne des mémoires vives - Volume 1. Editions Vuibert. January. Un des quatre ouvrages sélectionnés pour le prix AFISI (Association Française d’Ingénierie des Systèmes d’Information) du meilleur livre informatique.
  37. Davis, E.M., Harding, W.E., Schwartz, R.S., and Corning, J.J. (1964). Solid logic technology: Versatile, high-performance microelectronics. IBM Journal of Research and Development, 8(2), 102–114. April.
  38. DEC (1975 1976). LSI-11, PDP-11/03 User’s Manual. 1st Edition, September 1975. 2nd Printing (Rev). November 1975. 3rd edition (Rev), May. Digital Equipment Corporation.
  39. Denning, P.J. (1971). Third generation computer systems. ACM Computing Surveys (CSUR), 3, 176–210. December.
  40. Doerr, J. (1978). Low-cost microcomputing: The personal computer and single-board computer revolutions. Proceedings of the IEEE, 66(2), 117–130. February. Also in (Carson 1979, 110–123).
  41. Electronic Associates Inc. (1964). EAI 231R-V Analog Computer Information Manual. Electronic Associates Inc.
  42. Ellsworth, M.J., Campbell, L.A., Simons, R.E., Iyengar, M.K., Schmidt, R.R., and Chu, R.C. (2008). The evolution of water cooling for IBM large server systems: Back to the future. 11th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITHERM 2008). Conference date: May 28–31.
  43. Essinger, J. (2004). Jacquard’s Web: How a Hand-Loom Led to the Birth of the Information Age. Oxford University Press.
  44. Etiemble, D. (2016). Introduction aux systèmes embarqués, enfouis et mobiles. Article Réf. H8000 V2. Techniques de l’Ingénieur. August 10.
  45. Feng, W. (2003). Making a case for efficient supercomputing. Queue - Power Management Queue, 1(7), 54–64. October.
  46. Foster, I. and Kesselman, C. (eds) (2003). The Grid 2, Blueprint for a New Computing Infrastructure. The Elsevier Series in Grid Computing, 2nd edition. Morgan Kaufmann.
  47. Foster, I., Kesselman, C., and Tuecke, S. (2001). The anatomy of the grid: Enabling scalable virtual organizations. International Journal of Supercomputer Applications, 15(3), 200–222. Fall.
  48. Gaspard Clair Francois Marie Riche (Baron de) Prony (De Prony 1825). Recueil de cinq tables, pour faciliter et abréger les calculs des formules relatives au mouvement des eaux dans les canaux découverts et les tuyaux de conduite. Imprimerie royale. September.
  49. Gernelle, F. (1974). Ordinateur, en particulier pour des applications en temps réel. Brevet d’invention no. 73.03553. Institut National de la propriété Industrielle. Filing date: 1 February 1973. Decision date: August 19.
  50. Godderz, J.E. (1976). The most significant bits. ACM SIGMINI (Special Interest Group on Minicomputers newsletter) Newsletter, 2(4-5), 5. September.
  51. Goldberg, A. (ed.) (1988). A History of Personal Workstations. ACM Press (Addison-Wesley Publishing Company).
  52. Goldstein, C. (1999). La naissance du nombre en Mésopotamie. La Recherche, special edition no. 2, L’Univers des Nombres, 10–12. August.
  53. van de Goor, A.J. (1989). Computer Architecture and Design. Addison-Wesley Publishing Company, Inc.
  54. Grattan-Guinness, I. (1990). Work for the hairdressers: The production of de Prony’s logarithmic and trigonometric tables. IEEE Annals of the History of Computing, 12(3), 177–185. July/September.
  55. Haghighi, S. (2001). Server computer architecture. In (Oklobdzij 2001, section 5.1, section II Computer Systems and Architecture, Chapter 5 - Computer Architecture and Design).
  56. Hartree, D.R. (1948). A historical survey of digital computing machines. In (Hartree et al. 1948, 265–271). December.
  57. Hartree, D.R., Newman, M.H.A., Wilkes, M.V., Williams, F.C., Wilkinson, J.H., and Booth, A.D. (1948). A discussion on computing machines. Proceedings of the Royal Society of London, Series A, Mathematical and Physical Sciences, 195(104), 265–287. December 22.
  58. Helmers, C. (1976). Trends in pplications. Byte, 1(9), 4, 6, 90, 92, 94 and 96. May.
  59. Hewlett-Packard (1968). Powerful computing genie: $4900. Ready, willing and able. Hewlett-Packard. Advertisement for the HP 9100. Science, 162(3849), 6. October 4.
  60. Hewlett-Packard (1998). Proceedings of the IEEE, 86(1) Special Issue: 50th Anniversary of the Transistor. January.
  61. Hill, M.D., Jouppi, N.P., and Sohi, G.S. (2000). Readings in Computer Architecture. Morgan Kaufmann Publishers Inc.
  62. Hohn, F. (1955). Some mathematical aspects of switching. The American Mathematical Monthly, 62(2), 75–90. February.
  63. Hollerith, H. (1884a). Art of Compiling Statistics. United States Patent 0395782. Application number: US143805XA. Filing date: September 23.
  64. Hollerith, H. (1884b). Apparatus for Compiling Statistics. United States Patent 0395783. Application number: US28493988DA. Filing date: 09/23/1884.
  65. Hollerith, H. (1887). Art of Compiling Statistics. United States Patent 0395781. Application number: US24062987DA. Filing date: June 8.
  66. Ifrah, G. (1994). Histoire universelle des chiffres. Editions Robert Laffont, Paris.
  67. ITRS Emerging Research Devices Technology Working Group (2001). International Technology Roadmap For Semiconductors-Executive Summary. ITRS Emerging Research Devices Technology Working Group.
  68. Kaeslin, H. (2008). Digital Integrated Circuit Design: From VLSI Architectures to CMOS Fabrication. Cambridge University Press.
  69. Keller, A.C. (1962). Relays and switches. Proceedings of the Institute of Radio Engineers (IRE), 50(5), 932–934. May.
  70. Kim, E.E. and Toole, B.A. (1999). Ada and the first computer. Scientific American, 280(5), 76–81. May.
  71. La Porte, D. and Stibitz, G.R. (1982). Eloge: E. G. Andrews, 18981980. IEEE Annals of the History of Computing, 4(1), 4–19. January.
  72. Libes, S. (1978). Small Computer Systems Handbook. Hayden Book Company, Inc.
  73. Lilen, H. (1979). Circuits Intégrés JFET-MOS-CMOS: Principes et Applications, 3rd edition. Editions Radio.
  74. Marguin, J. (1994). Histoire des instruments et machines à calculer, Trois siècles de mécanique pensante, 1642–1942. Editions Hermann.
  75. Matzke, D. (1997). Will physical scalability sabotage performance gains? IEEE Computer, 30(9), 37–39. September.
  76. Meindl, J.D. (1984). Ultra-large scale integration. IEEE Transactions on Electron Devices, 31(11), 1555–1561. November.
  77. Meindl, J.D. (1995). Low power microelectronics: Retrospect and prospect. Proceedings of the IEEE, 83(4), 619–635. April.
  78. Metropolis, N. and Worlton, J. (1980). A trilogy on errors in the history of computing. IEEE Annals of the History of Computing, 2(1), 49–59. January.
  79. Moore, G.E. (1965). Cramming more components onto integrated circuits. Electronics, 38(8), 114–117. April 19. Republished in (Hill et al. 2000, 56–59).
  80. Moore, G.E. (1975). Progress in digital integrated electronics. International Electron Devices Meeting (IEDM), 11–13. Republished in (Moore 2006).
  81. Moore, G.E. (2006). Progress in digital integrated electronics. Technical Literature. IEEE Solid-State Circuits Society (SSCS) Newsletter, 20(3), 36–37. September.
  82. Moto-Oka, T. (1982). 5th Generation Computer Systems. International Conference on 5th Generation Computer Systems, Moto-Oka, T. (ed.). October 19–22, 1981, Tokyo, Japan. Elsevier.
  83. Nabielsky, J. and Skelton, A.P. (1981). A virtual terminal management model. Request For Comments (RFC) 782. The MITRE Corporation. Internet Engineering Task Force (IETF). January.
  84. Nelson, D.L. and Bell, C.G. (1986). The evolution of workstations. IEEE Circuits and Devices Magazine, 2(4), 12–16. July 1986.
  85. Oklobdzija, V.G. (ed.) (2001). The Computer Engineering Handbook. CRC Press.
  86. Osborne, A. (1980). An Introduction to Microcomputers: Volume 1 - Basic Concepts, 2nd edition. Osborne/McGraw-Hill.
  87. Patterson, D.A. (1995). Microprocessors in 2020. Scientific American, 273(3), 62–67. September.
  88. Pfister, G. (1998). In Search of Clusters, 2nd edition. Prentice Hall.
  89. Pugh, E.W. (2013). Stars: IBM system/360. Proceedings of the IEEE, 101(11), 2450–2457. November.
  90. Rau, B.R., Yen, D.W.L., Wei, Y., and Towle, R.A. (1989). The Cydra 5 departmental supercomputer. Design philosophies, decisions, and trade-offs. IEEE Computer, 22(1), 12–35. January.
  91. Roberts, E.W. and Yates, W. (1975a). ALTAIR 8800: The most powerful minicomputer project ever presented – can be built for under $400. ALTAIR 8800 Minicomputer, Part I. Popular Electronics, 7(1), 33–38. January.
  92. Roberts, E.W. and Yates, W. (1975b). Build the ALTAIR minicomputer. ALTAIR 8800 minicomputer, Part II. Popular Electronics, 7(2), 56–58. February.
  93. Rochain, S. (2016). De la mécanographie à l’informatique. 50 ans d’évolution. ISTE Editions, London.
  94. Rojas, R. (1997). Konrad Zuse’s legacy: The architecture of the Z1 and Z3. IEEE Annals of the History of Computing, 19(2), 5–16. April/June.
  95. Scientific American (1997). The solid-state century: The past, present and future of the transistor. Scientific American, Special Issue, 8(1). January 22.
  96. Schultz, G.W., Holt, R.M., and McFarland, H.L. (1973). A guide to using LSI microprocessors. IEEE Computer, 6(6), 13–20. June.
  97. Seraphim, D.P. and Feinberg, I. (1981). Electronic packaging evolution in IBM. IBM Journal of Research and Development, 25(5), 617–629. September.
  98. Shannon, C.E. (1938). A symbolic analysis of relay and switching circuits. Transactions of the American Institute of Electrical Engineers (AIEE), 57, 713–723. Also in (Shannon 1993).
  99. Shannon, C.E. (1953). Realization of all 16 switching functions of two variables requires 18 contacts. Bell Laboratories Memorandum. November 17.
  100. Shannon, C.E. (1993). In Claude Elwood Shannon, Collected Papers. Sloane, N.J.A. and Wyner, A.D. (eds). IEEE Press.
  101. Shapiro, F.R. (2000). Origin of the term “personal computer”: Evidence from the JSTOR electronic journal archive. Comments, Queries, and Debates. Werner Buchholz. IEEE Annals of the History of Computing, 22(4), 70–71. October–December.
  102. Shiva, S.G. (2006). Advanced Computer Architectures. CRC Press.
  103. Siewiorek, D.P., Bell, C.G., and Newell, A. (1982). Computer Structures: Principles and Examples. McGraw-Hill Book Company.
  104. Small, J.S. (2001). The Analogue Alternative. The Electronic Analogue Computer in Britain and the USA, 1930–1975. Routledge.
  105. Smith, J.E. and Nair, R. (2005). Virtual Machines. Versatile Platforms for Systems and Processes. Morgan Kaufmann Publishers. Elsevier Inc.
  106. Speiser, A.P. (1980). The relay calculator Z4. IEEE Annals of the History of Computing, 2(3), 242–245. July.
  107. Sterling, T., Becker, D.J., Savarese, D., Dorband, J.E., Ranawake, U.A., and Packer, C.V. (1995). Beowulf: A parallel workstation for scientific computation. 1995 International Conference on Parallel Processing (ICPP), I (Architecture), I–11-I-14. CRC Press. August 14–18, University of Illinois at Urbana-Champain, Illinois, USA.
  108. Stiefel, M.L. (1978). Single board computers. Mini-Micro Systems. September. Republished in (Carson 1979, 124–133).
  109. Succi, S., Ayati, B.P., and Hosoi, A.E. (1996). A six lecture primer on parallel computing. Technical Report CS-96-11. University of Chicago. Chicago, IL, USA.
  110. Sullivan, P., Callander, M.A. (Sr.), Lundberg, J.R., Stamm, R.L., and Bowhill, W.J. (1990). The VAX 6000 model 400 scalar processing module. Digital Technical Journal, 2(2), 27–35. Spring.
  111. Suri, P.K. and Mittal, S. (2012). A comparative study of various computing processing environments: A review. International Journal of Computer Science and Information Technologies (IJCSIT), 3(5), 5215–5218. October.
  112. Swade, D.D. (1993). Redeeming charles babbage’s mechanical computer. Scientific American, 268(2), 86–91. February.
  113. Swade, D.D. (2001). The Difference Engine: Charles Babbage and the Quest to Build the First Computer. Viking Press.
  114. Swade, D.D. (2005). The construction of charles babbage’s difference engine No. 2. IEEE Annals of the History of Computing, 27(3), 70–88. July/September.
  115. Tanenbaum, A. (2005). Architecture de l’ordinateur, 5th edition. Pearson Education.
  116. Treleaven, P.C. (1981). 5th generation computer architecture analysis. International Conference on 5th Generation Computer Systems, 265–275. October 19–22, 1981, Tokyo, Japan. In (Moto-Oka et al. 1982).
  117. Treleaven, P.C. and Lima, I.G. (1982). Japan’s 5th generation computer systems. IEEE Computer, 15(8), 79–88. August.
  118. Truitt, T.D. and Rogers, A.E. (1964). Introduction au Calcul Analogique: Principes et Applications. Dunod.
  119. Weiss, E. (1996). Konrad zuse obituary. IEEE Annals of the History of Computing, 18(2), 3–5. Summer.
  120. Weste, N.H.E. and Harris, D. (2010). CMOS VLSI Design: A Circuits and Systems Perspective, 4th edition. Addison-Wesley Longman, Inc.
  121. Wickes, W.E. (1968). Logic Design with Integrated Circuits. John Wiley & Sons Inc.
  122. Xanthopoulos, T. (2009). Clocking in Modern VLSI Systems. Series on Integrated Circuits and Systems. Thucydides Xanthopoulos Editor.
  123. Zuse, K. (1993). The Computer - My Life. Springer-Verlag.

Chapter 2

  1. Amdahl, G.M., Blaauw, G.A., and Brooks, F.P. (1964). Architecture of the IBM system/360. IBM Journal of Research and Development, 8(2), 87–101. April.
  2. Belady, L.A., Parmelee, R.P., and Scalzi, C.A. (1981). The IBM history of memory management technology. IBM Journal of Research and Development, 25(5), 491–504. September.
  3. Bell, C.G., Mudge, J.C., and McNamara, J.E. (eds) (1978). Computer Engineering: A DEC View of Hardware Systems Design. Digital Press.
  4. Buchholz, W. (1956). Memory word length. Stretch Memo no. 40. 3. July 31.
  5. Buchholz, W. (ed.) (1962). Planning a Computer System - Project Stretch. McGraw-Hill Book Company, Inc.
  6. Buchholz, W. (1977). The word “Byte” comes of age. Byte, 2(2), 144. February.
  7. Chen, P.M. and Patterson, D.A. (1993). Storage performance-metrics and benchmarks. Proceedings of the IEEE, 8(9), 1151–1165. September.
  8. Chisvin, L. and Duckworth, R.J. (1989). Content-addressable and associative memory: Alternatives to the ubiquitous RAM. IEEE Computer, 22(7), 51–64. July.
  9. Ciminiera, L. and Valenzano, A. (1987). Advanced Microprocessor Architectures. Electronic Systems Engineering Series. AddisonWesley Publishing Co.
  10. Cohen, D. (1981). On holy wars and a plea for peace. IEEE Computer, 14(10), 48–54. October. Original: IEN (Internet Engineering Note) 137. USC/ISI (University of Southern California /Information Sciences Institute). April 1.
  11. Darche, P. (2002). Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Edition Vuibert. March.
  12. Darche, P. (2003). Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Editions Vuibert. June.
  13. Darche, P. (2012). Mémoires à semi-conducteurs: principe de fonctionnement et organisation interne des mémoires vives - Volume 1. Editions Vuibert. January. Un des quatre ouvrages sélectionnés pour le prix AFISI (Association Française d’Ingénierie des Systèmes d’Information) du meilleur livre informatique.
  14. Digital Equipment Corporation (1983). PDP-11 Architecture Handbook. Order Code: EB-23657-18. Digital Equipment Corporation (DEC).
  15. Gifford, D. and Spector, A. (1987). Case study: IBM’s system/360–370 architecture. Communications of the ACM (CACM), 30(4), 291–307. April.
  16. Gray, J. and Shenay, P. (1999). Rules of thumb in data engineering. December 1. 16th International Conference on Data Engineering (ICDE’00), 3. February 28–March 3.
  17. Handy, J. (1998). The Cache Memory Book, 2nd edition (First Edition in 1993). Academic Press.
  18. IEEE (1996). IEEE Standard for High-Bandwidth Memory Interface Based on Scalable Coherent Interface (SCI) Signaling Technology (RamLink). IEEE Std 1596.4-1996. Approved March 19.
  19. IEEE (2002a). Draft Standard for Prefixes for Binary Multiples. IEEE Std P1541/D5. The Institute of Electrical and Electronics Engineers. New York, USA. April 18.
  20. IEEE (2002b). IEEE Std 1541-2002: IEEE Standard for Prefixes for Binary Multiples.
  21. International Electrotechnical Commission (2000). Letter symbols to be used in electrical technology-Part 2: Telecommunications and electronics-Symboles littéraux à utiliser en électrotechnique - Partie 2: Télécommunications et électronique. International Electrotechnical Commission. IEC 60027-2 - Edition 2.0 Bilingual. 22 November.
  22. Meinadier, J.-P. (1971 1988). Structure et Fonctionnement des Ordinateurs. Librairie Larousse, Paris.
  23. Nakagomi, T., Holzbach, M., Van Meter, R., III, and Ranade, S. (1993). Re-defining the storage hierarchy: An ultra-fast magneto-optical disk drive. 12th IEEE Symposium on Mass Storage Systems: “Putting all that Data to Work”, 267–274. April 26–29.
  24. Patterson, D. (2004). Latency lags bandwith. Communications of the ACM (CACM), 47(10), 71–75. October.
  25. Patterson, D. (2005). Latency lags bandwidth. 2005 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD 2005), 3–6. October 2–5.
  26. Self, K. (1999). Memory in megabytes and/or mebibytes. IEEE Spectrum, 36(8), 18. August.

Chapter 3

  1. Ackerman, W.B. (1982). Data flow languages. IEEE Computer, 15(2), 15–24. February.
  2. Agha, G.A. (1985). Actors: A model of concurrent computation in distributed systems. Technical report 844. Massachusetts Institute of Technology (MIT) Artificial Intelligence Laboratory. Cambridge, MA, USA. June.
  3. Agha, G.A. (1986). Actors: A Model of Concurrent Computation in Distributed Systems. MIT Press, Cambridge, MA, USA.
  4. Amdahl, G.A., Blaauw, G.A., and Brooks, F.P., Jr. (1964). Architecture of the IBM system/360. IBM Journal of Research and Development, 8(2), 87–101. April.
  5. ARM (2019). ARM Architecture Reference Manual. ARMv8, for ARMv8-A Architecture Profile. Arm® DDI 0487D.b (ID042519). Arm® Limited.
  6. Azaria, H. and Tabak, D. (1983). Design considerations of a single instruction microcomputer – A case study. Microprocessing and Microprogramming, 11(3–4), 187–194. March–April.
  7. Backus, J. (1978). Can programming be liberated from the von Neumann Style? A functional style and its algebra of programs. Communications of the ACM (CACM), 21(8), 613–641. August.
  8. Baer, J.-L. (1984). Computer architecture. IEEE Computer, 17(10), 77–87. October.
  9. Bell, J.R. (1973). Threaded code. Communications of the ACM (CACM), 16(6), 370–372. June.
  10. Bell, C.G. and Newell, A.C. (1970). The PMS and ISP descriptive systems for computer structures. 1970 Spring Joint Computer Conference (Spring AFIPS’70), 351–374. Conference date: May 5–7.
  11. Bell, C.G. and Newell, A. (1971). Computer Structures: Readings and Examples. McGraw-Hill Computer Science Series. McGraw-Hill Book Company.
  12. Bell, C.G., Kotok, A., Hastings, T.N., and Hill, R. (1978). The evolution of the DEC system 10. Communications of the ACM (CACM), 21(1), 44–63. January.
  13. Bennett, T.H., Kouvoussis, A.E., and Wiles, M.F. (1977). Microprocessor chip register bus structure. American patent no. 4004281. Assignee: Motorola, Inc. Application number: 05/519133. Filing date: October 30, 1974. Publication date: January 18.
  14. Blaauw, G.A. and Brooks, F.P. Jr. (1996). Computer Architecture: Concepts and Evolution. Addison-Wesley Professional.
  15. Borkar, S. (2007). Thousand core chips: A technology perspective. 44th Annual Design Automation Conference (DAC’07), 746–749. June 4–8. San Diego, CA, USA.
  16. Brooks, F.P. Jr. (1975 1995). The Mythical Man-Month: Essays on Software Engineering. 20th Anniversary Edition. Other Editions in 1975 and 1982. Addison-Wesley.
  17. Bryant, R.E. and O’Hallaron, D.R. (2016). Computer Systems: A Programmer’s Perspective, 3rd edition. Addison Wesley.
  18. Buchholz, W. (1953). The systems design of the IBM type 701 Computer. Proceedings of the IRE, 41(10), 1262–1275. October.
  19. Buchholz, W. (ed.) (1962). Planning a Computer System – Project Stretch. McGraw-Hill Book Company, Inc.
  20. Buck, J.T. (1993). Scheduling dynamic dataflow graphs with bounded memory using the token flow model. Doctor of Philosophy in Engineering-Electrical Engineering and Computer Sciences Dissertation, University of California at Berkeley.
  21. Buck, J.T. and Lee, E.A. (1993). Scheduling dynamic dataflow graphs with bounded memory using the token fow model. 1993 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP-93), 1, 429–432. April 27–30. Minneapolis, MN, USA.
  22. Buckle, J.K. (1978). The ICL 2900 Series. MacMillan Press Ltd.
  23. Burks, A.W., Goldstine. H.H., and von Neumann, J. (1946–1947). Preliminary discussion of the logical design of an electronic computing instrument. Part I, 1. Report prepared for U.S. Army Ordnance Department. 28 June 1946-2 September. In CD-ROM by (Shriver and Smith 1998) and in (Taub 1963, 34–79).
  24. Carter, J.W. (1995). Microprocessor Architecture and Microprogramming: A State-Machine Approach. Prentice Hall International Limited, London.
  25. Ceruzzi, P. (2000). “Nothing new since von Neumann”: A historian looks at computer architecture, 1945–1995. In (Rojas and Hashagen 2000, 195–217).
  26. Corporaal, H. (1995). Transport triggered architectures: Design and evaluation. PhD Dissertation, Delft University of Technology (TU Delft). September 13.
  27. Dahl, O.J. and Nygaard, K. (1966). SIMULA – An ALGOL-based simulation language. Communications of the ACM (CACM), 9(9), 671–678. September.
  28. Dally, W.J. and Wills, D.S. (1989). Universal mechanism for concurrency. Proceedings of PARLE. Lecture Notes in Computer Science (LNCS), 365, 19–33. Springer Verlag, Berlin.
  29. Darche, P. (2000). Architecture des ordinateurs – Représentation des nombres et codes – Cours avec exercices corrigés. Collection Support IUT. Éditions Gaëtan Morin. November.
  30. Darche, P. (2002). Architecture des ordinateurs – Fonctions booléennes, logiques combinatoire et séquentielle – Cours avec exercices et exemples en VHDL. Éditions Vuibert. March.
  31. Darche, P. (2003). Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Editions Vuibert. June.
  32. Darche, P. (2004). Architecture des ordinateurs - Logique booléenne: implémentations et technologies. Editions Vuibert. November.
  33. Darche, P. (2012). Mémoires à semi-conducteurs: principe de fonctionnement et organisation interne des mémoires vives - Volume 1. Editions Vuibert. January. One of four books selected for the AFISI (Association Française d’Ingénierie des Systèmes d’Information) prize for the best book on computing.
  34. Dasgupta, S. (1990). A hierarchical taxonomic system for computer architectures. IEEE Computer, 23(3), 64–74. March 1990. See also (Schmidt and Dasgupta 1990).
  35. Dennis, J.B. (1980). Data flow supercomputers. IEEE Computer, 13(11), 48–56. November.
  36. Edwards, S.A. (1997). The Specification and Execution of Heterogeneous Synchronous Reactive Systems. PhD Thesis. Technical Report No. UCB/ERL M97/31. Electrical Engineering and Computer Sciences (EECS) Department, University of California, Berkeley.
  37. Estrin, G. (1952). A description of the electronic computer at the institute for advanced studies. 1952 ACM National Meeting (ACM’52), 95–109. Toronto, Canada.
  38. Estrin, G. (1953). The electronic computer at the institute for advanced study. Mathematical Tables and Other Aids to Computation, 7(42), 108–114. April.
  39. Farrell, E.P, Ghani, N., and Treleaven, P.C. (1979). Concurrent computer architecture and a ring based implementation. 6th Annual International Symposium on Computer Architecture (ISCA’79), 1–11.
  40. Frizzell, C.E. (1953). Engineering description of the IBM type 701 computer. Proceedings of the IRE, 41(10), 1275–1287. October.
  41. Gajski, D. (2003). NISC: The ultimate reconfigurable component. Technical Report TR 03-28. Center for embedded computer systems, University of California. October.
  42. Gajski, D. (2005). No-instruction-Set-Computer Processor. United States Patent Application 20050097306. Application number: 10/ 944365. Filing date: 09/17/2004. Publication date: 05/05/2005.
  43. Gajski, D.D. and Kuhn, R.H. (1983). Guest editors’ introduction: New VLSI tools. IEEE Computer, 16(12), 11–14. December.
  44. Gluck, S.E (1953). The electronic discrete variable computer. Electrical Engineering, 72(2), 159–162. February.
  45. Godfrey, M.D. and Hendry, D.F. (1993). The computer as von Neumann planned it. IEEE Annals of the History of Computing, 15(1), 11–21. January. In CD-ROM by (Shriver and Smith 1998).
  46. Goldstine, H.H. (1993). The Computer from Pascal to von Neumann. Princeton University Press.
  47. Goldstine, H.H. and von Neumann, J. (1947–1948). Planning and coding of problems for an electronic computing instrument. Part II, Vols. 1 to 3. Three reports prepared for U.S. Army Ordnance Department. Republished in (Taub 1963, 80–235).
  48. van de Goor, A.J. (1989). Computer Architecture and Design. Addison-Wesley Publishing Company, Inc.
  49. Greenwald, S., Haueter, R.C., and Alexander, S.N. (1953). SEAC. Proceedings of the IRE, 41(10), 1300–1313. October.
  50. Hartree, D.R., Newman, M.H.A., Wilkes, M.V., Williams, F.C., Wilkinson, J.H., and Booth, A.D. (1948). A discussion on computing machines. Proceedings of the Royal Society of London, Series A, Mathematical and Physical Sciences, 195(104), 265–287. December 22.
  51. Hennessy, J.L. and Patterson, D.A. (2007). Computer Architecture. A Quantitative Approach. 4th edition. Morgan Kaufmann Publishers, Inc.
  52. Hewitt, C. (1977). Viewing control structures as patterns of passing messages. Artificial Intelligence, 8(3), 323–364. June.
  53. Hewitt, H., Bishop, P., and Steiger, R. (1973). A universal modular actor formalism for artificial intelligence. 3rd International Joint Conference on Artificial Intelligence (IJCAI), 235–245. August 20–23. Stanford University, Stanford California, USA.
  54. Hoare, C.A.R. (1978). Communicating sequential processes. Communications of the ACM (CACM), 21(8), 666–677. August. Republished in (Kuhn and Padua 1981).
  55. Hopkin, R.P., Rautenback, P.W., and Treleavan, P.C. (1979). A computer supporting data flow, control flow and updateable memory. Technical Report 144, Computing Laboratory, University of Newcastle upon Tyne. September.
  56. IBM (1955). IBM Presents The 650 Magnetic Drum Data Processing Machine. Reference Document: 32-6770. International Business Machines Corporation (IBM).
  57. IBM (2017). Power ISA™. Version 3.0 B. IBM. March 29.
  58. Intel (1975). Intel 8080 Microcomputer Systems User’s Manual. Intel Corporation. September.
  59. Intel (1981). Introduction to the iAPX 432 Architecture. Manual Order Number: 171821-001. Intel. August.
  60. Intel (1989). 8086/8088 User’s Manual, Programmer’s and Hardware Reference. Intel.
  61. Intel (2003). IA-32 Intel® Architectures Software Developer’s Manual, Volume 1: Basic Architecture. Order Number 245470-012. Intel Corporation.
  62. Intel (2017). Intel® 64 and IA-32 Architectures Software Developer’s Manual. Order Number: 325462-062US. Intel Corporation. March.
  63. Johnson, M. (1990). Superscalar Microprocessor Design. Prentice Hall Series in Innovative Technology. Prentice Hall.
  64. Jouppi, N.P. (1989). The nonuniform distribution of instruction-level and machine parallelism and its effect on performance. IEEE Transactions on Computers, 38(12), 1645–1658. December.
  65. Kahn, G. (1974). The semantics of a simple language for parallel programming. IFIP Congress, 471–475. August 5–10. Stockholm, Sweden.
  66. Kathail, V., Schlansker, M.S., and Rau, B.R. (1993). HPL PlayDoh architecture specification: Version 1.0. HPL Technical Report HPL-93-80. HP Laboratories Palo Alto.
  67. Kathail, V., Schlansker, M.S., and Rau, B.R. (2000). HPL-PD architecture specification: Version 1.1. HPL Technical Report HPL-93-80(R.1). HP Laboratories Palo Alto. February (Revised).
  68. Kilburn, T. (1948). A storage system for use with binary digital computing machines. PhD Thesis, University of Manchester. 13 December.
  69. Knuth, D.E. (1986). The IBM 650: An appreciation from the field. IEEE Annals of the History of Computing, 8(1), 50–55. January–March.
  70. Koopman, P. Jr. (1987a). Writable instruction set, stack oriented computers: The WISC concept. 1987 Rochester Forth Conference. June 9–13. University of Rochester. The Journal of Forth Application and Research, 5(1).
  71. Koopman, P. (1987b). The WISC concept. Byte, 12(4), 187–193. April.
  72. Koopman, P.J. Jr. (1989). Stack Computers: The New Wave. Mountain View Press.
  73. Kopetz, H. (1998). The time-triggered model of computation. 19th IEEE Real-Time Systems Symposium (RTSS’98), 168–177. December 2–4. Madrid, Spain.
  74. Kowalski, R. (1979). Algorithm = Logic + Control. Communications of the ACM (CACM), 22(7), 424–436. July.
  75. Kuhn, R.H. and Padua, D.A. (eds) (1981). Tutorial on Parallel Processing. IEEE Press.
  76. Lavington, S.H. (1980). Early British Computers. Manchester University Press.
  77. Leavitt, N. (2012). Will power problems curtail processor progress? IEEE Computer, 45(5), 15–17. May.
  78. Lee, E.A. and Messerschmitt, D.G. (1987a). Static scheduling of synchronous data flow programs for digital signal processing. IEEE Transactions on Computers, C-36(1), 24–35. January.
  79. Lee, E.A. and Messerschmitt, D.G. (1987b). Synchronous data flow. Proceedings of the IEEE, 75(9), 1235–1245. September.
  80. Levy, H.M. and Eckhouse, R.H. Jr. (1989). Computer Programming and Architecture: The VAX, 2nd edition. Digital Equipment Corporation (DEC).
  81. Lindblad, T., Lindsey, C.S., Minerskjöld, M., Sekhniaidze, G., Székely, G., and Eide, A. (1995). Implementing the new zero instruction set computer (ZISC036) from IBM for a Higgs Search. Letter to the Editor. Nuclear Instruments and Methods in Physics Research, Section A, 357(1), 192–194. April.
  82. Marlet, R. (2012). Program Specialization. ISTE, London and John Wiley & Sons, New York.
  83. Masini, G., Napoli, A., Colnet, D., Léonard, D., and Tombre, K. (1990). Les langages à objets. Inter Editions.
  84. Mavaddat, F. and Parhami, B. (1988). URISC: The ultimate reduced instruction set computer. International Journal of Electrical Engineering & Education. 25(4), 327–334. October.
  85. McCulloch, W.S. and Pitts, W. (1943). A logical calculus of the ideas immanent in nervous activity. Bulletin of Mathematical Biophysics, 5(4), 115–133. December.
  86. Metropolis, N. and Worlton, J. (1980). A trilogy on errors in the history of computing. IEEE Annals of the History of Computing, 2(1), 49–59. January.
  87. Minsky, M.L. (1967). Computation: Finite and Infinite Machines. Prentice-Hall, Inc.
  88. MIPS Technologies, Inc. (2001a). MIPS32™ Architecture For Programmers. Volume I: Introduction to the MIPS32™ Architecture. Document Number: MD00082, Revision 0.95. MIPS Technologies, Inc.. March 12.
  89. MIPS Technologies, Inc. (2001b). MIPS64™ Architecture For Programmers. Volume I: Introduction to the MIPS64™ Architecture. Document Number: MD00083, Revision 0.95. MIPS Technologies, Inc.. March 12.
  90. Moore, B.L. (1949). The Mark III Calculator. Second Symposium on Large-Scale Digital Calculating Machinery, XXVI, 11–19. 13–16 September. The Annals of the Computation Laboratory of Harvard University. Harvard University Press. Cambridge, MA, 1951.
  91. Moto-Oka, T. (ed.) (1982). Fifth generation computer systems. International Conference on Fifth Generation Computer Systems. October 19–22, 1981. Tokyo, Japan. Elsevier.
  92. Nature (1948). Calculating machines. Nature, 161(4097), 712–713. May 8.
  93. von Neumann, J. (1945). First draft of a report on the EDVAC. contract no. W-670-ORD-4926 Moore School of Electrical Engineering, University of Pennsylvania. June 30. See also (Godfrey and Hendry 1993). In CD-ROM of (Shriver and Smith 1998).
  94. Nguyen, V. and Hailpern, B. (1986). A generalized object model. 1986 SIGPLAN Workshop on Object-Oriented Programming (OOPWORK’86). June 9–13, 1986. Yorktown Heights, New York, USA. ACM SIGPLAN (Special Interest Group on Programming Languages) Notices, 21(10), 78–87. October.
  95. Null, L. and Lobur, J. (2003). MarieSim: The MARIE computer simulator. ACM Journal of Educational Resources in Computing (JERIC), 3(2), Article no. 1. June.
  96. Nurmi, J. (ed.) (2007). Processor Design. System-on-Chip Computing for ASICs and FPGAs. Springer.
  97. Patterson, D., Anderson, T., Cardwell, N., Fromm, R., Keeton, K., Kozyrakis, C., Thomas, R., and Yelick, K. (1997a). A case for intelligent RAM. IEEE Micro, 17(2), 34–44. March/April.
  98. Patterson, D., Anderson, T., Cardwell, N., Fromm, R., Keeton, K., Kozyrakis, C., Thomas, R., and Yelick, K. (1997b). Intelligent RAM (IRAM): Chips that remember and compute. 1997 IEEE International Solid-State Circuits Conference (ISSCC’97). 6–8 February. San Francisco, USA.
  99. Patterson, D., Kozyrakis, C.E., Perissakis, S., Anderson, T., Asanovic, K., Cardwell, N., Fromm, R., Colbus, J., Gribstad, B., Keeton, K., Thomas, R., Treuhaft, N., and Yelick, K. (1997c). Scalable processors in the billion transistor Era: IRAM. IEEE Computer, 30(9), 75–78. September.
  100. Patterson, D., Asanovic, K., Brown, A., Fromm, R., Colbus, J., Gribstad, B., Keeton, K., Kozyrakis, C.E., Martin, D., Perissakis, S., Thomas, R., Treuhaft, N., and Yelick, K. (1997d). Intelligent RAM (IRAM): The industrial setting, applications, and architectures. IEEE International Conference on Computer Design (ICCD’97), 2–7. October.
  101. Profit, A. (1970). Structure et technologie des ordinateurs. Armand Colin.
  102. Randell, B. and Treleaven, P.C. (1983). VLSI Architecture. Prentice-Hall.
  103. Reddi, S.S. and Feustel, E.A. (1976). A conceptual framework for computer architecture. Computing Surveys, 8(2), 277–300. June.
  104. Reilly, E.D. (2003). Milestones in Computer Science and Information Technology. Greenwood Press.
  105. Rojas, R. and Hashagen, U. (eds) (2000). The First Computers: History and Architectures. MIT Press.
  106. Ross, H.D. (1953). The arithmetic element of the IBM type 701 computer. Proceedings of the IRE, 41(10), 1287–1294. October.
  107. Schmidt, U. and Dasgupta, S. (1990). Comments, with reply on “A Hierarchical Taxonomic System for Computer Architectures”. IEEE Computer, 23(6), 6. June.
  108. Shiva, S.G. (2006). Advanced Computer Architectures. CRC Press.
  109. Shriver, B. and Smith, B. (1998). The Anatomy of a High-Performance Microprocessor: A Systems Perspective. IEEE Press.
  110. Sima, D., Fountain, T., and Kacsuk, P. (1997). Advanced Computer Architectures: A Design Space Approach. Addison-Wesley Longman Limited.
  111. Stern, N. (1980). John von Neumann’s influence on electronic digital computing, 1944–1946. IEEE Annals of the History of Computing, 2(4), 349–362. October.
  112. Swartzlander, E.E. Jr. (1976). Computer Design Development: Principal Papers. Hayden Book Company, Inc.
  113. Taub, A.H. General (ed.) (1963). John von Neumann: Collected Works. Vol.: Design of Computers, Theory of Automata and Numerical Analysis. Pergamon Press.
  114. Tendler, J.M., Dodson, J.S., Fields, J.S. Jr. (Steve), Le, H., and Sinharoy, B. (2002). Power4 system microarchitecture. IBM Journal of Research and Development, 46(1), 5–27. January.
  115. Texas Instruments Incorporated (1976). TMS9900 Microprocessor Data Manual. Texas Instruments Incorporated. December.
  116. Treleaven, P.C. (1981). 5th generation computer architecture analysis. International Conference on 5th Generation Computer Systems, 265275. October 19–22. Tokyo, Japan. In (Moto-Oka et al. 1982).
  117. Treleaven, P.C. (1983). Decentralised computer architectures for VLSI. In (Randell and Treleaven, 348–380).
  118. Treleaven, P.C. (1990). Parallel Computers. Wiley, New York.
  119. Treleaven, P.C. and Hopkins, R.P. (1981). Decentralized computation. 8th Annual Symposium on Computer Architecture, 279–290. May, Paris, France.
  120. Treleaven, P.C. and Lima, I.G. (1984). Future computers: logic, data flow, …, Control Flow? IEEE Computer, 17(3), 47–58. March.
  121. Treleaven, P.C. and Vanneschi, M. (eds) (1987). Future Parallel Computers, An Advanced Course. Pisa, Italy, June 9–20. Lecture Notes in Computer Science (LNCS), 272. Springer-Verlag.
  122. Treleaven, P.C., Brownbridge, D.R., and Hopkins, R.P. (1982). Data-driven and demand-driven computer architectures. ACM Computing Surveys (CSUR), 14(1), 93–143. March.
  123. Treleaven, P.C., Refenes, A.N., Lees, K.J., and McCabe, S.C. (1987). Computer architectures for artificial intelligence. In (Treleaven and Vanneschi, 416–492).
  124. Tseng, C.-J. and Siewiorek, D.P. (1981). The modeling and synthesis of bus systems. 18th Design Automation Conference (DAC’81), 471–478. June 29–July 1. Nashville, Tennessee, USA.
  125. Tseng, C.-J. and Siewiorek, D.P. (1982). The Modeling and Synthesis of Bus Systems. DRC-18-42-82. Paper 65. Department of Electrical and Computer Engineering. Carnegie Institute of Technology. April.
  126. Tullsen, D.M., Eggers, S.J., and Levy, H.M. (1995). Simultaneous multithreading: Maximizing on-chip parallelism. 22nd Annual International Symposium on Computer Architecture (ISCA), 392–403. June 22–24. Santa Margherita Ligure, Italy.
  127. Tullsen, D.M., Eggers, S.J., Emery, J.S., Levy, H.M., Lo, J.L., and Stammy, R.L. (1996). Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. 23nd Annual International Symposium on Computer Architecture (ISCA), 191–202. May 22–24. Philadelphia, PA, USA.
  128. Turing, A.M. (1937a). On computable numbers, with an application to the entscheidungsproblem. Proceedings of the London Mathematical Society. Series 2, 42, Part 1, 230–265.
  129. Turing, A.M. (1937b). On computable numbers, with an application to the entscheidungsproblem. A correction. Proceedings of the London Mathematical Society, Series 2, 43, Part 1, 544–546.
  130. Turing, A.M. and Girard, J.-Y. (1995). La machine de Turing. Le Seuil, Paris.
  131. Vajda, F. (1986). Super micros – objectives and approaches. Microprocessing and Microprogramming, 17(1), 1–17. January.
  132. Weaver, D.L. and Germond, T. (eds) (1994). The SPARC architecture manual. Version 9. SPARC International, Inc. PTR Prentice Hall.
  133. Wilkes, M.V. (1951). The best way to design an automatic computing machine. Report of the Manchester University Computer Inaugural Conference, 16–18. Electrical Engineering Department of Manchester University. Manchester, England. July. Republished in (Swartzlander 1976; Williams and Campbell-Kelly 1989). Also in IEEE Annals of the History of Computing, 8(2), 118–121. April 1986.
  134. Williams, M.R. and Campbell-Kelly, M. (1989). The Early British Computer Conferences. MIT Press, Cambridge, MA, USA
  135. Williams, F.C. and Kilburn, T. (1948). Electronic Digital Computers. Letters to Editor. Nature, 162(4117), 487. September 25.
  136. Williams, F.C. and Kilburn, T. (1949). A storage system for use with binary digital computing machines. Proceedings of the IEE - Part II: Power Engineering, 96(50), 183–200. April.
  137. Wirthlin, M.J. and Hutchings, B.L. (1995). A dynamic instruction set computer. 1995 IEEE Symposium on FPGAs for Custom Computing Machines, 99–107. April 19–21. Napa Valley, CA, USA.
..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset
3.129.70.157