Appendix C. List of Keywords, System Tasks, and Compiler Directives

Keywords

Keywords[1] are predefined, nonescaped identifiers that define the language constructs. An escaped identifier is never treated as a keyword. All keywords are defined in lowercase.

The list is sorted in alphabetical order.

always

ifnone

rnmos

and

incdir

rpmos

assign

include

rtran

automatic

initial

rtranif0

begin

inout

rtranif1

buf

input

scalared

bufif0

instance

showcancelled

bufif1

integer

signed

case

join

small

casex

large

specify

casez

liblist

specparam

cell

library

strong0

cmos

localparam

strong1

config

macromodule

supply0

deassign

medium

supply1

default

module

table

defparam

nand

task

design

negedge

time

disable

nmos

tran

edge

nor

tranif0

else

noshowcancelled

tranif1

end

not

tri

endcase

notif0

tri0

endconfig

notif1

tri1

endfunction

or

triand

endgenerate

output

trior

endmodule

parameter

trireg

endprimitive

pmos

unsigned

endspecify

posedge

use

endtable

primitive

vectored

endtask

pull0

wait

event

pull1

wand

for

pulldown

weak0

force

pullup

weak1

forever

pulsestyle_onevent

while

fork

pulsestyle_ondetect

wire

function

rcmos

wor

generate

real

xnor

genvar

realtime

xor

highz0

reg

 

highz1

release

 

if

repeat

 

System Tasks and Functions

The following is a list of keywords frequently used by Verilog simulators for names of system tasks and functions. Not all system tasks and functions are explained in this book. For details, refer to the IEEE Standard Verilog Hardware Description Language document. This list is sorted in alphabetical order.

$bitstoreal        $countdrivers     $display           $fclose
$fdisplay          $fmonitor         $fopen             $fstrobe
$fwrite            $finish           $getpattern        $history
$incsave           $input            $itor              $key
$list              $log              $monitor           $monitoroff
$monitoron         $nokey

Compiler Directives

The following is a list of keywords frequently used by Verilog simulators for specifying compiler directives. Only the most frequently used directives are discussed in the book. For details, refer to the IEEE Standard Verilog Hardware Description Language document. This list is sorted in alphabetical order.

'accelerate              'autoexpand_vectornets     'celldefine
'default_nettype         'define                    'define
'else                    'elsif                     'endcelldefine
'endif                   'endprotect                'endprotected
'expand_vectornets       'ifdef                     'ifndef
'include                 'noaccelerate              'noexpand_vectornets
'noremove_gatenames      'nounconnected_drive       'protect
'protected               'remove_gatenames          'remove_netnames
'resetall                'timescale                 'unconnected_drive


[1] From IEEE Std. 1364-2001. Copyright 2001 IEEE. All rights reserved.

..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset
3.12.36.30