Chapter 6

Lapping and Polishing

Toshiro K. Doi*
Osamu Ohnishi
Eckart Uhlmann
Arne Dethlefs
*    Kyushu University, Fukuoka, Japan
    Institute of Education and Research for Engineering, University of Miyazaki, Miyazaki, Japan
    Institute of Machine Tools and Factory Management, Technical University Berlin, Chair of Machine Tools and Manufacturing Technology, Berlin, Germany

Abstract

The processing technology has beeu applied to the fabrication of optical, electrolic, and mechanical devices. A study on an automatic or computer-aided machining system or both processing and ultraprecision polishing has been undertaken for practical use.

In this chapter, principles of lapping and polishing for hard and brittle materials, like optical glass and crystals belonging to the groop of ceramics, are discussed including the ultraprecision processing technique.

Keywords

lapping
polishing
abrasive
pad
chemical compound polishing
CMP (Chemical Mechanical Polishing)
MCP (Mechano-chemical Polishing)
ultrasonic lapping
abrasive flow machining semiconductor
ceramics
slurry

6.1. Introduction

Generally, lapping and polishing occur by the sliding frictions between particles and a surface. The lap or polishing pad (polisher) travels across a workpiece surface against which particles of sand or mud- type slurry are forced to the point of contact.
The origin of these processing methods dates from tool making in the primeval age. They were inherited and developed from generation to generation to a level of advanced technology.
Classification of lapping and polishing techniques by generation is shown in Figure 6.1[1]. Presently, the processing technology that belongs to the fourth generation, an improved version of the third-generation technology, has been applied to the fabrication of optical, electronic, and mechanical devices. A study on an automatic or computer-aided machining systems for both processing and ultraprecision polishing has been undertaken for practical use.
image
Figure 6.1 Classification of lapping and polishing techniques by generation [1]
In this chapter, principles of lapping and polishing for hard and brittle materials, like optical glass and crystals belonging to the group of ceramics, are discussed including the ultraprecision processing technique.

6.2. Typology of processes with loose abrasives

If it divides roughly, fixed and free (loose) abrasives are used for processing. Processing with the free abrasives includes buffing and abrasive shot machining in addition to lapping and polishing. Lapping and polishing are, however, considered two of the most outstanding processing methods achieved by causing sliding frictional forces between the work surface and the tool. Slurry is constantly fed into the tool during this process.
The main difference between lapping and polishing processes is obvious in glass lens manufacturing. Lapping produces a rough surface processed with coarse abrasives and a hard plate tool, while polishing produces a mirror-like surface processed with fine particles abrasives and soft pads. Table 6.1 shows the difference among processing conditions and the mechanisms between the lapping and polishing.

Table 6.1

Difference in processing conditions and the mechanism between the lapping and poslishing

LappingPolishing
imageimage
Abrasive sizeLarge (several 10∼several μm)Microscopic (below several μm)
ToolHard lap (cast iron, ceramics etc.)Soft pad (artificial leather, plastic etc.)
Action of abrasiveRollingRetained elastically by pad surface
Surface conditionSatin finish surfaceMirror surface
Main purposeAccuracy of formSmooth mirror surface


Figure 6.2 shows the relationship between lapping and polishing. Each is based on a different combination of abrasives and tools [2]. However, depending on the type of workpiece materials, the choice of abrasives and tools is not fixed. As a result, the finishing appearance of surfaces may vary. As a matter of fact, the definitions of lapping and polishing are somewhat similar.
image
Figure 6.2 Classification of lapping and polishing on the basis of combinations of tools and abrasives [2]
Lapping and polishing techniques have the following characteristics:
1. Processing is easily performed, through the relative motions of the sliding workpiece against the tool, using slurries.
2. Almost all solid materials, such as metal and nonmetal materials, can be processed.
3. Because processing advances with the micro-action of abrasives, processing efficiency is generally low.
4. The processing efficiency is so low that it is possible to approximate gradually to the desired level of precision, which provides a great advantage for high-precision processing.
5. A highly sophisticated processing machine is not required.
6. Control of slurries is complicated due to some problems such as slurry splashing and accumulation of chips in slurries.
Figure 6.3 indicates the relative positioning of lapping, polishing, and ultraprecision polishing for the device fabrication of hard and brittle materials [3]. The horizontal axis provides a scale to indicate the units of processing (i.e., depth by abrasives, size of chips, surface roughness, etc.) [4]. The vertical axis is the surface roughness (Rz) finished by each processing. The minimum unit of the ultraprecision polishing is shown in the order of an atom.
image
Figure 6.3 Models of lapping, polishing, and ultra-precision polishing for hard and brittle materials [3]

6.3. Lapping

Precision devices with mirror-like surfaces are not manufactured by the polishing method alone. Prior to the finishing process, lapping or grinding is applied to make the surface of the desired geometry and to condition the surfaces.
Therefore, understanding lapping mechanisms is important when establishing polishing conditions, not to mention the margin for stock removal.
Abrasives contained in slurries, during the lapping of hard and brittle materials, induce new fissures in the already-existing cracks and irregular features as indicated in the lapping model of Figure 6.3. This process subsequently generates chips through the cracks by the pushing, rolling, and scratching behaviors of abrasives. The following description of lapping is provided with concrete examples.

Lapping Process

In device fabrications, the processing flow is established with device performance and properties, shapes, accuracy, and quality and quantity of work materials. The manufacturing process flow of glass lens, [5] for example, follows these steps:
Lens-shape-pressing of raw glass materials
Grinding by curve generator
Lapping
Polishing
This process is supported by peripheral technologies such as waxing, cleaning (washing), and measuring of workpieces.
In the mass production of lens, lapping, which corresponds to the smoothing of workpieces in a prepolishing process, has replaced low-speed grinding with a pellet-like diamond stick stone. Recently, this process was applied to a small quantity production of specific items. Each single face of the lens is usually finished to a desired spherical shape by a single-sided lens lapping or polishing machine. Copying a plane or curved surface of the lap or pad (polisher) is shown in Figure 6.4.
image
Figure 6.4 Basic motion of a lens lapping and polishing machine
Lapping and polishing for glass or quartz substrates and achieving high flatness and parallelism on such substrates are critically important. In a typical manufacturing method, a large number of small workpieces are processed with a single-sided machine. Workpieces are adhered to a plate jig with a thin wax layer and are then finished to be flat and parallel. Plate jig made of glass, ceramics, or stainless steel was previously finished to an optical parallel.
Because stock of removal is fundamentally proportional to the relative speed between the workpiece and tool, pressure, and time, lapping and polishing make practical use of their characteristics. In other words, a suitable processing time can be set under uniform speed and pressure or eccentrically concentrated pressure in order to finish to a desired thickness and parallelism.
Figure 6.5 illustrates two examples that provide eccentrically delivered pressure: (a) eccentrically concentrated loading method [6,7] and (b) eccentrically distributed loading method [8]. An eccentric weight has a simple structure, like a cylinder that is cut obliquely. This method is reasonable for correcting parallel error, because theoretical values of pressure distribution and experimental values in lapping and polishing are in agreement. Figure 6.6 shows results of the varying thickness measured from 8 points on 5 mm inside the edge of a φ100 × t20 mm glass substrate, which is lapped during a given time by rotating the position of maximum height of three kinds of eccentric weight by 180 degrees every time [8].
image
Figure 6.5 Eccentrically concentrated loading method for correcting parallelism [8]. (a) Eccentrically concentrated loading method [6,7] and (b) eccentrically distributed loading method [8]
image
Figure 6.6 Correcting effect of parallelism in glass lapping with eccentric weights [8]
During the improvement process of parallelism in mirror polishing, the optical interference fringe patterns form the reflecting light of the surfaces, both sides of which are mirror finished, are visibly measured. This result proves the effectiveness of eccentrically distributed loading [8].
Both lapping and polishing methods are applicable to the correction of the angle and crystal orientations. Corrections can be achieved with additional equipment such as an autocollimator for measuring angles, X-ray , Raue, or diffraction [9].
For mass production, a double-sided lapping or polishing method is applied due to the absence of induced waxing errors. Both faces of the workpieces are kept and processed between two laps or polishers that are each rotating in opposite directions as shown in Figure 6.7. Lapping and polishing methods are important to obtain parallelism and desired thickness for quartz and glass substrates as well as for silicon wafers and ceramic plates after the slicing process. In this case, well-distributed placement of workpieces in order of thickness on the lap surface is important.
image
Figure 6.7 Double-sided lapping or polishing machine

Lapping Factors

Main factors relating to the surface quality, accuracy, and working efficiency of lapping and polishing are shown in Table 6.2.

Table 6.2

Main Factors of Lapping and Polishing

LappingPolishing
AbrasivesMaterialMetal oxide (SiO2, Al2O3, CeO2, etc.), SiC, diamond, etc.
PropertyHardness, toughness, activity, shape
Size1–30 μm< 1μm
ToolsMaterialCast ironPlastic, pitch, buff, etc.
PropertyHardness, elastopasticity, wear
SizeGroove pattern, texture, shape
FluidsWater-type, oil-type, additives
MachineTypeSingle-sided, double-sided
MotionRotation, reciprocation
Speed (m/min)5–30
Pressure (kPa)1–100


Abrasives

In lapping, Al2O3 and SiC abrasives are typically used because of their low cost and stable supply. These abrasives are classified by size and are commercially available from mesh number #300 (=50 μm) to #8000 (=approx. 2 μm) for general lapping. For the final lapping of glass lens and silicon wafers, abrasives #1000 or #1200 (or #2000) are used.
For the effective use of abrasive sizes during the processing of glass lenses or prisms, the mesh number of abrasives is doubled in every step to finally obtain the desired surface roughness across the workpiece. Although no clear explanations are provided for this process, it appears that such lapping conditions have been determined through experience. Surface irregularities and damaged layers formed during the lapping step can be completely removed to produce the desired accuracies of shape and size. Under such conditions, doubling the mesh number in every step can also be applied to the lapping of ceramic materials.
Magnetic abrasive finishing was recently proposed as a new lapping method. Magnetic abrasives, made of ferromagnetic material and sintered/crushed abrasive powders, interact with the magnetic field of the workpiece, therefore necessitating a specially designed machine for processing [10].

Lap

Cast iron is commonly adopted as a lap material because of its low cost and consistent accuracy on the lap surface. According to metallography, cast iron is a type of polycrystal metal, consisting of hard pearlite iron, soft ferrite iron, and free carbon. Such pearlite iron has a layered microstructure with the hard cementite and soft ferrite layers. It is said that fine irregularities are easily formed on a lap surface, which allows it to hold abrasives in the recessed regions and makes lapping advantageous.
On the other hand, in some cases tempered steel is used to meet the requirements for a hard lap. Glass or ceramics are also used to avoid the contamination of metal ions.

Lapping Speed and Pressure

In usual lapping, the relative speed and pressure between work and pad (polisher) are not great. Abrasives in the slurry should be fed in between the work and lap, and broken abrasives as well as chips of work should be discharged from the gap after lapping. A suitable lapping speed and pressure should be adopted for such processes to be carried on smoothly. Under high lapping speed, the slurry tends to splash. At high pressure, less abrasive seeps into the gap. For gentle lapping conditions, a lapping speed of about 10 m/min and a lapping pressure of 10 kPa are adopted in practice. For precision working, it is important to adopt such lapping conditions so that stock removal (V) is proportional to the lapping speed (ν), pressure (p), and time (t) as given in Preston’s equation:

V=k·ν·p·t(k:const)

image

Lapping Characteristics

Various lapping characteristics have been abstained by changing combinations of work and lapping materials. When the stock removal is proportional to the lapping speed (m/min), pressure (Pa), and time (min) as shown by Preston’s equation, high-precision lapping can be achieved. The proportional constants (μmm-1/Pa, the specific stock removal in lapping) are recognized as a processing efficiency.
Figure 6.8 shows the relationship among average size or mesh number of silicon carbide abrasives, processing efficiency, surface roughness, and maximum depth of crack across the lapped surface of LiTaO3 single crystal [12]. These values increase in proportion to the increase of the average size of abrasives and mimic the mechanical action of individual abrasive grains and thereby show the same tendency.
image
Figure 6.8 Relationship among abrasives and other workpiece factors [12]
In Figure 6.9, these values are indicated when lapped. With three different kinds of abrasives, each value is shown in order of (SiC) Al2O3 emery (SiC produced by nature), which implies the dependence upon the hardness of abrasives [12]. On the other hand, a different tendency arises with fragile lapping material. Processing efficiency and surface roughness of TeO2 single crystal, which is more fragile and softer than LiTaO3 crystal, are shown in Figure 6.10. Little difference is noted in lapping efficiency among four kinds of abrasives used: SiC, Al2O3, emery, and garnet (A2B3(SiO4)3 produced by nature A: Ca, Mg, Mn, Fe, Bi, Al, Cr, Fe). Slight differences in the surface roughness seem to be caused by the distribution of abrasive size [13].
image
Figure 6.9 Relationship between abrasives and specific stock removal of LiTaO3 single crystal [12]
image
Figure 6.10 Relationship between abrasive, stock removal, and surface roughness of TeO2 single crystal [13]
Because abrasives are crushed, it is necessary to use harder abrasives for the lapping of harder and lower-efficiency materials. Such abrasives are unnecessary for soft materials with high lapping efficiency.
Surface roughness on lapped work is usually interpreted as the result of the generation of chips. It is desirable to convert the extra energy, supplied to make chips, into heat energy to be removed with the chips. However, such energy is actually kept in the lapped surface in the form of strain, stress, and damaged layers. No divergence occurs in the relation between chip generation and surface toughness. In lapping hard and brittle materials such as glass, the presence of plastic deformation on a top surface layer, microcrack toward the inside, and plastic and elastic deformations at the extension of such cracks have been detected. These issues have been clarified by lapping single crystals such as quartz and silicon. [12,14]
The depth of a damaged layer on a lapped surface is estimated to be 6 to 15 times as large as surface roughness and in the same order of dimension of the abrasives used. On the basis of such estimation, a reasonable stock allowance for lapping and polishing can be determined for device fabrications.

Lapping Machines

Because a mirror-like, high-accuracy surface can be easily obtained with a simple lapping machine, an advanced precision machine is not needed.
One of the simplest lapping equipment uses a lap of φ200–φ300 mm. The workpieces are manually abraded against the lap at 300–1000 rpm while simultaneously supplying slurry and pressing the workpieces against the lap surface in a to-and-fro motion in the direction of the lap diameter. Such a lapping method is similar to the metallurgical polishing using Al2O3 or Cr2O3 abrasive powder and a felt sheet polisher; this polishing method is used to observe the microscopic structure of metal specimen.
Some improvements were made on lens lapping and polishing machines. For example, manual lapping operations were shifted to the machine, with increasing relative speeds between the work and laps while maintaining high working pressures. In a working operation of the lens and polishing machine, workpieces—with a driving pin at the center of the back—are forced against the rotation lap surface. The pin allows the workpiece to make reciprocating motions and to rotate in conformity with the revolution of the lap as shown in Figure 6.4.
In making a theoretical analysis for precision lapping, it is necessary to consider the motion between the work and lap, the deviated distribution of abrasive size, and the surface toughness of the work caused by the destruction of abrasives. The level of complications in this area has prevented exploration of it.
When lapping flat surfaces and spherical surfaces of a long focal point, a conditioning ring-type lapping machine, shown in Figure 6.11, has been employed. The conditioning ring and conditioning plate are forced down against the rotating ring lap surface thus maintaining the lap surface in good condition.
image
Figure 6.11 Conditioning ring-type lapping machine

6.4. Polishing

The stock removal unit in the polishing machine is small as is shown in Figure 6.3. Abrasives only act on the top layer of a work surface because much finer abrasives and softer tools are adopted than in the case of lapping.
In glass polishing, fine metal oxide abrasives, such as cerium oxide power (CeO2) and red rouge powder (Fe2O3) of less than 1 μm, are used along with soft polishers such as pitch, wax, plastic, and synthetic leather. The abrasives elastoplastically sustained in the pad (polisher) are presumed to produce extremely small scratches on the pad. Unsubstantial chips in glass polishing accompanied by the hydration phenomenon are shown. However, it is impossible to observe such chips under a wet polishing condition.
Some of the following explanations were given for the mechanism of making a mirror finish for glass:
Mechanical stock removal
Plastic flows
Chemical etching
Mirror finishing methods have various characteristics for different materials as summarized in Figure 6.12. As mechanical and chemical (electric) actions, there are (a) mechanical removal by abrasion, (b) friction to disorder the atomic arrangement, (c) etching and dissolving, and (d) formation of a thin film. The combination of these actions at different ratios constitute various polishing methods that are listed outside the circle [15]. In glass polishing and mechanochemical polishing of silicon wafers, work surfaces are covered with a soft film by chemical reactions that is then mechanically removed by abrasives. These processes are repeated in order to remove surface irregularities and to gradually yield mirror-like surfaces [1618].
image
Figure 6.12 Classification of polishing methods based on stock removal mechanisms [15]

Polishing Process and Factors

Polishing is an important processing method in the fabrication of the following devices:
Passive optical devices: lens and prisms of various sizes, polarizer, and wave-length constant
Active optical devices: solid-state laser rod, optical deflector, and electro-optic modulator
Piezoelectric devices: quartz oscillator and filter
Semiconductor devices: LSI, the magnetic devices as magnetic memory head and magnetic bubble memory
Mechanical devices: ceramic plate and ball, etc.
Work materials range from fragile glass and crystal to the hardest materials such as diamond. High-quality and high-precision polishing is performed to meet requirements for a higher grade of device function and performance.
The basis of the device manufacturing process, on lapping using the process of a glass lens, was briefly described in Section 2.0. Polishing factors are shown next to the lapping factors in Table 6.2. A high-quality mirror-like surface is required for polishing. Note that is important to keep the polishing area free of sand particles, dust, and completely separate it from the lapping area. Clean surroundings, a pure water/ultra pure water/DIW supply system, and washing machines for the polishing equipment, jigs, pad (polisher), and other parts are necessary.
With regard to the polishing slurry, careful attention should be paid to the adoption of fine abrasives so as to avoid contamination by large particles and dust.

Abrasives for Polishing

Abrasives contained in the polishing slurry are metal oxide fine powders such as CeO2, Fe2O3, Al2O3, and SiO2; these substances are generally used by being suspended in water. Whether it is suitable is judged by the stock removal and polishing quality accumulated through polishing experiments. Abrasive hardness is one of the criteria for abrasive selection; however, because the values are obtained from single crystals or sintered materials, they do not represent the value of the abrasives themselves. It seems that quite complicated chemical reactions occur with the slurry.
In glass polishing, for instance, CeO2 powder is superior to others in terms of stock removal. Figure 6.13 shows a mimetic diagram of the processing mechanism of glass polishing. On the other hand, Fe2O3 is considerably better on surface quality, and pure SiO2 powder is excellent in avoiding contamination from the transition elements.
image
Figure 6.13 Processing mechanism of glass polishing
Diamond powders of single substances are the hardest abrasive materials ranging from sub-μm to 4 μm. These powders are employed for polishing as a water- or oil-type slurry and paste. These powders are quite expensive, so the amount fed is controlled in order to consume less. Usually, spraying or finger-coating is most economical.

Pad (Polisher)

Pads (polishers) made of a hard-type polyurethane foam sheet are currently employed for glass lens manufacturing because of low wear and simple usage. As innumerable pores of the foam supply and discharge paths of slurry, it is crucial to make the work surface moderately rough in an earlier stage of polishing to enable it to hold abrasives. Figure 6.14 shows SEM photographs of typical polishing pads, such as the polyurethane sheet and artificial leather.
image
Figure 6.14 Polishing pad
For manufacturing specific optical devices, the pitch polishing pad (polisher), which has been in use for 300 years, is utilized with mesh grooves on its surface. The effect of mesh grooves is similar to the pores in the polyurethane pad (polisher). Concerning the pitch polisher, its surface roughened by the work surface holds abrasives that make both pad/polisher and work surfaces smooth as polishing advances.
The wear and softness of the pad/polisher can cause deterioration of geometric accuracy, such as flatness, and generation of turned-down edges. The correct selection of a polishing machine and its operation is important to satisfy the requirements for high-precision polishing.

Polishing Characteristics

Figure 6.15 shows the relationship of glass BK6 with polishing time, stock removal, and polisher wear amount, lapped to a matte surface, and polished with CeO2 powders and an acrylic resin pad (polisher). In the early stage of polishing, the work surface still remains rough, producing small stock removals and large degrees of polisher wear. However, once a mirror-like surface is obtained, stock removal increases significantly in proportion to the polishing time. On the other hand, pad (polisher) wear turns out to be small, which also increases in proportion to the polishing time [19].
image
Figure 6.15 Relationship between stock removal and polisher wear in glass polishing [19]
Irregularities of the work surface, generated during the prior processing, rub against the pad (polisher) like an abrasive stone or file and cause the pad (polisher) to wear. Stable polishing conditions continue after such irregularities are removed and a smooth surface is obtained. Stock removal is proportional not only to the polishing time but also to the speed and pressure, thus satisfying Preston’s principle [20,21]. Proportional constants of stock removal, processing efficiency, and the specific wear amount of pad (polisher) are important factors in determining polishing conditions for theoretical analysis.
The profile of surface roughness of zirconia ceramics polished with a pad (polisher) of acetate fiber is shown in Figure 6.16. Diamond and Cr2O3 abrasive powders of similar dimension were used, and a mirror-like surface was obtained in both cases. However a big difference was observed on the profiles of surface roughness. Sintered ceramics are heterogeneous in structure and are made up of fine crystals, boundaries, and pores. Smooth surfaces are obtained with diamond powders that function superiorly on stock removal and uniform removal of irregularities across a work surface. Cr2O3 powders, which are inferior to the diamond in stock removal, only attack weak parts of the work surface and make emphatic pits or dents in the profile of the surface roughness [22]. Some improvements can be expected by changing polishing materials to relatively hard metals such as tin and copper.
image
Figure 6.16 Surface roughness profiles of polished zirconia ceramics: (a) polished surface with diamond powder and (b) polished surface with chromium oxide powder slurry [22]
The concept of the highest-grade mirrors with optical polishing methods—namely, super-smooth mirror-like surface—has been defined. Such surfaces may have a wavelike profile formed with regularly arranged atoms such as AFM (atomic force microscopy) or STM (scanning tunneling microscope) images of a silicon cleavage face. If high-density atoms are arranged, the peak and valley of the surface roughness will be one-tenth or one-hundredth of the atomic diameter. Although the surface roughness is different depending on the work materials, the peak and valley of the surface roughness on a super-smooth mirror surface is expected to be small.
Actual mirror polishing progresses through material removal ranging from the minimum scale of an atom or molecule to the large scale of clusters from the minimum scale of an atom or molecule to the large scale of clusters of atoms/molecules. If material removal progresses along the motion locus of abrasives and projecting areas of the polisher holding abrasives, it is possible to produce surface textures similar to the directional ridges in a cultivated field as in a diffraction grating. Taking the crossing of polishing motion into account, it is also possible to change it into pyramid like or conelike arrangements. Traces of such material removal on polished surfaces may be present, and beneath them are damaged layers of disordered atomic arrangement, which may affect atomic layers from several layers to a few hundred layers down from the surface. Surface quality could be improved by making the surface texture finer. It is important to use fine abrasives and fine-textured polishers. As mentioned earlier, the existence of large particles in the slurry and irregularities on the polisher leads to the deterioration of surface quality. Figure 6.17 shows a conceptualized relation between large particles and surface toughness. When a polishing machine is installed in a dusty environment, the quality of the worked surface deteriorates as polishing advances because of the dust-drenched conditions [23].
image
Figure 6.17 Basic formation models of surface roughness: (a) relation between work, pad (polisher), and abrasive or dust; (b) relation between surface texture of pad (polisher) and irregularity of polishing pressure [23]
With some materials, the surface is irregular in the beginning but becomes smooth in the finishing stage of polishing. Typical materials for such pad (polisher) are pitch and wax.
With regard to the conventional pitch polishing for glass, this surface toughness has remained 50 nm Rz for a long time and is attributable to dust and large particles. As a countermeasure, a coated pad (polisher) with pitch was prepared on a metal plate that was previously made to the desired shape with a 1 mm × 1 mm (width × depth) groove on 4 mm patterned grids and flatness. During the cooling process, the metal plate that was heated to the melting point of the pitch surface tension becomes uniform across the surface with the aid of the pitch surface tension; this leads to the realization of an ideal polisher if used in a clean atmosphere. With 60 nm SiO2 abrasives, the surface roughness of 1–0.3 nm Rz is achievable [24].

Polishing Machine

Polishing machines are basically much the same as lapping machines with respect to the progress of the work enhanced by polisher movement. Theory-based analysis and controls of the wear and deformation of polishers become important in order to secure accuracy and a mirror surface.
Lapping and polishing machines are sorted out in Table 6.3. With a motor as their power source, the majority of workpieces and tools move by revolution.

Table 6.3

Classification of Lapping and Polishing Machines

WorkLap or pad (polisher)Notes
Single-sided machineFlat
Force rotation
Upword
Disk plate
Downward
Lens lapping and polishing machine
Forced rotation
Conditioning ring
Downward
Swiss and rotation
Ring (disk) plate
Upword
Conditioning ring and type lapping polishing machine
Followed rotaion
Conditioning ring
Downward
Forced rotation
Forced rotation
Ring plate
Upward
Forced rotation
(Conditioning ring)
Downward
Rotation and swing
Ring palte
Upward
Forced rotation
Motion-free Oscillation
Spherical Lens lapping and polishing machine
Conditioning-type lapping and polishing machine
Upward
Forced rotation
Ring (plate)
Downward
Swing and rotation
Sideward
Forced rotation
Ring (plate)
Sideward
Swing and rotation
Advanced curve generator
AsphericalLens lapping and polishing machine
Upward
Computer control rotation
Aspheric tool
Downward
Swing and synchronous
rotation
Upward
Computer control rotation
Small tool
Downward
Circular swing
Computer-controlled lapping and polishing machine
Sideward
Forced rotation
Small tool
Sideward
Forced rotaion and cam drive
Double-sided machineFlat and Parallel
Supported by carrier
Rotation on carrier
and tool center
Lower and upper ring plates
Fixed
Two motion–type double-sided
lapping machine
Supported by carrier
Rotation on carrier and tool center
Lower and upper ring plates
Either rotation
Three motion–type double-sided lapping machine
Supported by carrier
Rotation on carrier and tool center
Lower and upper ring plates
Opposite rotation
Four motion–type double-sided lapping machine
Supported by carrier
Circular swing of carrier
Lower and upper disk plates
Fixed
Sideward
Followed rotation by roll supporting
Disk plate
Sideward
Both forced rotation
Magnetic memory substrate double-sided lapping machine


In the theoretical analysis of polishing, the proportional constants of Preston’s law are used for the calculation of stock removal as well as the wear amount. These constants represent specific stock removal and wear amounts of the polisher; their dimensions are the same as that of the specific wear amount in the friction and wear. These values are influences by the characteristics of workpieces, abrasives, slurries, and polishers and become one of the polishing conditions in the theoretical analysis [21].
Polishing speed and time are given by kinematic analysis between the work and polisher. In this case, diameters, revolutions, and true contact ratio are critically important factors. Moreover, if polishing starts under such initial polishing conditions that the shape of both surfaces are expressed in a mathematical formula, the relationship between the behaviors of both surfaces and pressure distributions can be obtained with the following assumptions:
1. The abrasives and machined traces are considered to be in close contact when they are distributed uniformly across both surfaces.
2. The pressure distributed on the work surface is given by the elastic deformation amount of the polisher.
3. The inelastic behavior of the polisher should be included in the wear.
4. No negative pressure occurs across the entire surface.
Stock removal hw (μm) and wear amount of polisher hp (μm) at a random point on the work or polisher surface are expressed as follows:

hworhp=η·(ν)·(p)·α·t

image (6.1)
where,  t (= t/m, min) is a short interval; η(μmkm-1/Pa) stands for the specific stock removal or specific wear amount of polisher; (v) (m/min) or (p) (Pa) is the average velocity or pressure on machined traces of every random point; and α is a ratio of actual processing time at random points against apparent polishing time. It is important for the development of theoretical analysis that the sum of pressures at each point corresponds to the loading weight values on work. Figure 6.18 shows a relation between the work and polisher. Figure 6.19 shows calculation results of stock removal, wear amount of the tool, and flatness when obtained. Pitch polishing was performed for glass work from its initial conditions as given in Figure 6.18.
image
Figure 6.18 Relationship between work and polisher (pad): (a) relation between disk work type polisher; (b) distributed pressure model taking into account the figure of a random point on work and polisher [21]
image
Figure 6.19 Calculation results of stock removal, wear amount of tool, and variation of flatness [21]
According to numerical calculations, as polishing advances, the flatness markedly deteriorates with a large specific wear amount of polisher. The degree of flatness deterioration seems rather small when elastic deformation constants are large [21].

Advanced Polishing Methods

Recently, various polishing methods originating from the conventional lapping and polishing methods were newly proposed for device fabrications; these methods are indicated in Table 6.4.

Table 6.4

Advanced Polishing Methods

Property of processingApplication
[Improvement of lapping]
UltraprecisionMechanically stock removing with abrasive stone and oil or water-tyoe solutionBlock gauge
Low-speed agrindingEnd of scale
Ultraprecision lappingBy using fine abrasives and metal or ceramics lap, and filtered oil or water-type solutionPlate
Conventional lapping
Conventional polishing
By using Al2O3 or SiC abrasives and cast iron lap, or CeO2 powders and pitch or plastic polisher, and lens lapping and polishing machine and conditioning ring-type lapping machineGlass lens or prism
[Improvement of optical polishing]
Mech.Ultraprecision polishingSuppressing mechanical action and dust influence by adopting fine abrasive powder, soft polisher and (ultra) pure waterQuarts plate
Laster rod
Optoelectronic device
X-ray mirror
Large telescope mirror
Bowl feed polishingWork and polisher in slurry for suppressing mechanical action or impact and temperature rise
ComplexComputer-aided polishingMaking a small polisher to travel on work surface by using computer
[Using flow effect of slurry]
EEMMild stock removing action with abrasives in hydrodynamic flow of slurry around ball toolX-ray mirror
Optical grade dies
Float polishingStock removing action with abrasives in hydrodynamic flow of slurry on flat polisherGlass Plate
Ferrite
[Removing chemical reactive products]
Mechanochemical polishing(wet)Growing and removing reactive products as hydration film under wet conditionSi wafer
GaAs wafer
Chem.(dry)Peeling off reactive product film with 0.01 μm SiO2 powder under dry friction conditionSapphere
Si3N4 ceramic
Hydration polishingGrowing hydration film under 50-200°C water vapor condition and wiping off with wood or carbon toolSapphere
ZnSe lens
[Using chemical removal]
Disk-type chamical polishingChemically removing with friction by using non-abrasive chemical solution and non-woven fiber sheetGaAs wafer
InP wafer
Hydration polishingChemically removing with non-abrasive chemical solution layer between work and polisherFdTe wafer
ZnSe wafer
P-MAC polishingChemically removing by using non-abrasive chemical solution and fluorocarbon foam polisher Working conditions change as polishing advances

Improvement of Optical Polishing

In the manufacturing of advanced optics, it is necessary to avoid dust and to adopt fine abrasive powders, soft polishers, ultra pure water (DIW), and a clean environment.
In bowl feed polishing, the workpieces and polishers are soaked in slurry while polishing. High quality and high accuracy were obtained under such special polishing conditions that are excellent in classifying fine abrasives, cooling them, and in shock absorption by fluid. A superior surface quality was obtained with a pitch or fluorocarbon-coated polisher [25,26].
As often pointed out, a great deal of skill is required to accomplish high-grade polishing, and therefore, a rapid shift to computer-controlled polishing methods has been anticipated. For instance, a correcting polishing method for flat or spherical surfaces uses a small-size polisher that performs small circular motions while traveling across the work surface with the aid of a computer as shown in Figure 6.20. This polishing method is supported by the measuring technology. [26,28]
image
Figure 6.20 Small tool used in computer-aided polishing [27,28]

Noncontact Polishing Method

With this polishing method, the work and polisher (pad) do not come in contact during polishing. In conventional polishing, damaged layers on finished works are induced by the actions of polishing abrasives suspended in a slurry in order to control the influence of such mechanical actions.
Elastic emission machining (EEM) [29] and float polishing [30] are typical of this method. In EEM, a urethane rubber ball tool is forced against the work surface. When the spring-controlled ball rotates, a film of slurry is formed between the ball and the work surface. Polishing advances as the abrasives between the ball and the work surface attack the work surface. Deviation from the streamline is caused by centrifugal force when the specific gravity of abrasives is larger than that of the solution, as shown in Figure 6.21. This method provides a smooth surface, thus making it possible to accomplish high-accuracy polishing by computer scanning the work surface with the ball.
image
Figure 6.21 Ball tool action in elastic emission machining (EEM) [29]
In float polishing, a special machine with ultraprecision rotary bearings of a hydrostatic type is used. For a flat work surface finishing, work surfaces are forced against a tin polisher machined to a perfect flat mirror and polished while a sufficient amount of slurry is fed. When the polisher revolution is suitable, the flow of slurry between the polisher workpieces becomes turbulent. As a result, abrasives in the slurry attack the work surfaces. Such abrasive actions were observed during the research of hydrodynamic bearings [31]. Although the surface quality obtained with these polishing methods is outstanding, the stock removal rate is rather low; therefore, these methods are used for specific finishings. Figure 6.22 shows a schematic diagram of float polishing.
image
Figure 6.22 Float polishing
This method is also used for chemical polishing: in hydroplane polishing [33] and progressive mechanical and chemical polishing (P-MAC polishing) [33,34] of compound semiconductor wafers using bromine methanol solution without abrasives. Both methods make efficient use of the noncontact condition between work and polisher through improved machine structure selection of dummy materials.

6.5. Chemical compound polishing

With an increasing demand for high-function and high-performance optomechatronics components, ultraprecision polishing, which is a final finishing process of the fabrication, has been recently recognized as the most critical step in the processes. For electronic components, for instance, with a growing tendency toward high density, technologies to produce accurate topography and shapes in the order of 10−4 (μm) to 10−9 (nm) have been introduced. From the viewpoint of material properties, this method is indispensable for producing a completely smooth mirror-like surface. By creating such high-precision and high-quality surfaces, the characteristics inherent to each functional material are made available for full use, leading to the realization of high-performance components. Meeting these requirements, therefore, is of utmost importance for ultraprecision polishing.
Recently, a variety of ultraprecision polishing methods were introduced. In high-precision polishing for glass, improvements of processing conditions have led to accurate and strain-free polishing. In addition, various processing methods using compounding chemical or other actions with mechanical action have been developed for use in agreement with the requirements of each material to be processed. Various areas including semiconductors, wet-type mechanochemical polishing or chemical mechanical polishing (CMP), and colloidal silica polishing. These processes permit the formation of a completely smooth crystal surface, that is, a damage-free and strain-free mirror-like surface that is indispensable for the optomechatronics components. Processing characteristics of crystal materials will be described here along with its processing mechanism.

Ultraprecision Chemical Compound Processing Methods

The goal of ultraprecision polishing is to produce a smooth mirror-like surface to the atomic order without disturbing the configuration of the surface crystal lattice. This process is called strain-free mirror-like finishing. In other words, it requires a processing unit in the order of an atom or molecule that can remove only a few atomic layers from the surface of a workpiece. In the case of physical removal, the processing of a strain-free mirror-like surface is difficult, and the region of strain propagation should be minimized to the atomic or molecular order.
Removal methods of workpiece surfaces include chemical and physical actions such as dynamic, mechanical, thermal, and electric energies. However, rather than using these actions individually, better processing efficiency and surface quality are obtained by compounding physical and chemical actions together. Figure 6.23 illustrates the currently available physical (mechanical) and chemical compound processing methods.
image
Figure 6.23 Physical/mechanical and chemical compound processing methods
Material removal in the polishing process is affected primarily by mechanical and chemical actions. Mechanical actions are considered to cover removal and frictional actions, whereas chemical actions encompass dissoluble action and reaction products/film forming actions. By compounding these actions, novel ultraprecision polishing methods can be discovered, followed by the establishment of various characteristic processing factors, taking into account typical ultraprecision polishing methods and processing mechanisms. Typical ultraprecision polishing methods are listed in Table 6.5.

Table 6.5

Main Factors for Polishing

Processing mechanismEfficiencyAccuracyQuality (low damaged layers)
1. Mechanical polishingparticle dia., hardness: large (diamond, alumina, cellium, oxide, etc) pressure, relative speed: highplate shape accuracy, system motion accuracy, particle dia. and distribution, polishier materialsopposite to what listed in “efficiency”
2. Wet-type MCP/CMPreagent (chemicals) concentration, particle density: high atmosphere temp.: highplate shape accuracy, system motion accuracy, plate/chemicals temp. controlreagent concentration: high atmosphere temp.: high particle density: low soft abrasives/soft polisher
3. Dry type mechano-chemical polishingparticle material: reactivity against workpiece (solid-phase reaction), soft abrasivesplate shape accuracy, system motion accuracyparticle dia: small particle material: reactivity against workpiece, soft abrasives
4. Colloidal Silica Polishingparticle density: high gelling speed, high pressure particle/workpiece: correlation, colloid chemical actionplate shape accuracy, system motion accuracyparticle density: high particle dia./ distribution: small particle/workpiece: correlation, soft particle, colloid chemical action
5. Non-contact Polishingparticle dia., kinetic energy: large, number of particles: large correlation particle/workpiece: correlationparticle kinetic energy control, system motion accuracy, particle dia. and distributionparticle dia, kinetic energy: small, number of particles: large particle/workpiece: correlation


1. Mechanical Polishing. In pitch polishing, wax polishing, and metallographic polishing, a purely mechanical action is used to remove micro-regions of the surfaces by micro-cutting edges of individual abrasives that are basically slightly harder or similar to that of workpiece. This processing mechanism is applied for the polishing of glasses, ceramics, ferrites, and similar workpieces with hard abrasives such as diamond or alumina. Polishers, which are polishing pads, are usually made of soft metal (tin, lead, copper), a pitch, or resin. A large number of abrasives get curried in a polisher in such a way that they are sustained by the polisher to enable its micro-cutting edges to act on the surfaces of workpieces thus producing fine scratches across the surfaces. Figure 6.24 illustrates the processing mechanism of this polishing.
image
Figure 6.24 Processing mechanism in conventional mechanical polishing
2. Wet-Type Mechanochemical Polishing (MCP)/Chemical Mechanical Polishing (CMP). Wet-type mechanochemical polishing or chemical mechanical polishing is a composite polishing activated by the mechanical action of abrasives and the chemical action of a polishing reagent. This process is sometimes distinguished from the mechanical and chemical polishing that gains polishing rates similar to the total of mechanical and chemical removal rates. A typical example of wet-type mechanochemical polishing or chemical mechanical polishing would be ultraprecision polishing that uses slurry with SiO2, fine abrasives dispersed in a mild alkali solution, and worked on Si wafers for LSI to produce a smooth, strain-free, mirror-like surface [35].
By using chemicals as a polishing reagent to chemically induce etching on a workpiece material, fine abrasives promote chemical reactions (solid-liquid phase reaction) between the reagent and material and simultaneously make surface irregularities more planar. Figure 6.25 shows a chart of the polishing promotion factors for wet-type mechanochemical polishing, or chemical mechanical polishing. This issue will be discussed later.
image
Figure 6.25 Polishing promotion factors for wet-type mechanochemical polishing
3. Dry-Type Mechanochemical Polishing. Mechanochemical polishing (MCP) under dry conditions is activated by chemical reactions (solid-liquid phase reaction) between the workpieces and abrasives. This process causes removal of the work surface with particles softer than the workpiece material. A typical example is the polishing of sapphire with fine abrasives of SiO2 [36]. When SiO2 particles act upon sapphire (Al2O3) surfaces, the contacting area comes under pressure from high temperature, and SiO2 and Al2O3 initiate a solid-phase reaction to form what are softer mullites than Al2O3 in the contacting area that are later removed by SiO2 particles. Figure 6.26 shows a schematic diagram of the processing mechanism for the dry-type mechanochemical polishing. By repeating the cycle of generation, removal, and generation of reactive products, dry-type mechanochemical polishing produces a more efficient polishing without inducing damaged layers as in diamond particle polishing.
image
Figure 6.26 Contact status of the work with soft abrasives in mechanochemical polishing
4. Colloidal Silica Polishing. Colloidal silica polishing a processing method based on the characteristic colloidal phenomenon through the use of a slurry with superfine particles of several 10 to several 100 Å suspended in a pH-controlled processing solution (e.g., alkali solution of pH ∼12). Thin colloidal silica exist between an artificial leather-like soft polisher and the workpiece. Once a shearing force is set to work in a horizontal direction under high pressure, the gelation phenomenon inherent in colloids is induced temporarily, a process known as dilatancy phenomenon. In this mechanism, polishing is accelerated by the mechanical microremoval action of an atomic/molecular order mainly through cohesive and abrasive actions of SiO2 fine particles that are held in the apparently gelated solutions (Figure 6.27) [37].
image
Figure 6.27 Diagram of colloidal silica polishing

Because wet-type and dry-type mechanochemical polishing are dependent on the chemical properties of workpieces, they can only be applied to a limited range of materials. However, this polishing method can process almost all kinds of materials to a strain-free, mirror-like surface. The final result depends, however, on the hardness of workpieces, which sometimes causes degradation of processing efficiency. Other polishing methods include Si wafer processing, which combines the polishing mechanism of wet-type mechanochemical polishing with the present polishing mechanism. This polishing method will be discussed later in this chapter.

5. Noncontact Polishing. This method corresponds to the micro-minimized processing reaction of mechanical polishing to the order of 1/10–1/100. The particles of approximately 100 Å diameter act on the surface atoms of workpieces thereby removing several to several tens of atoms. This representative example is based on what was proposed as elastic emission machining (EEM) [38] as seen in Figure 6.28 and is applied to noncontact processing such as float polishing [39].
image
Figure 6.28 A processing principle of elastic emission machining (EEM)

When the processing unit diminishes to the atomic or molecular order, particle surface atoms join with the workpiece surface atoms without inducing plastic behavior, followed by destruction of the joints. The polishing progresses this way. Consequently, almost no mechanical damage is left after the atoms are removed. In mechanical and mechanochemical polishing methods, abrasives retained by a polisher, in some cases, in a solution, act upon workpieces. On the other hand, EEM and EEM-applied noncontacting polishings by the collision of abrasives with workpieces accomplish high-quality and high-precision polishing.

In general, processing efficiency, processing precision, and depth of damaged layers are reciprocally related. For those chemical reactions included in the processing mechanism such as mechanochemical polishing, the higher the processing efficiency, the fewer the damaged layers. However, because the processing surface precision degrades as chemical actions become large, chemical reactivity needs to be controlled in order to obtain better precision.

Figure 6.29 shows the general characteristics chemical compound polishing. With lapping, the efficiency increases approximately in proportion to the diameter of abrasives used; however, such a relation is not greatly noticeable when polishing with particles below 1 μm. For polishing with particles below 0.1 μm, the magnitude of the number of active particles has a large effect on efficiency. In other words, high efficiency can be achieved by increasing the processing pressure with the polisher uniformly working on the surfaces of workpieces. Because transcribing the polisher surface is a basic principle, processing precision is largely dependent on the precision of the polisher or the plate on which workpieces are mounted. However, in the case of processing methods such as EEM, which scans the area to be processed, the efficiency depends primarily upon its scanning accuracy.

image
Figure 6.29 General characteristics of chemical compound polishing

Wet-Type Mechanochemical Polishing and Chemical Mechanical Polishing

This chapter deals with chemical compound polish, namely mechanochemical polishing (MCP) or chemical mechanical polishing (CMP), that combines mechanical removal action (mechanical polishing) of abrasives with chemical action generated by the processing reagent or abrasives.
Mechanochemical phenomena can be defined as follows:[4042]
1. The mechanical energy (e.g., mechanical shock, grinding, rolling, tension, application of pressure, plastic deformation) applied to a solid material caused the solid surface to change its physical and chemical properties.
2. It brings about chemical changes to the gas and liquid materials around a solid material.
3. It induces or accelerates direct reactions between the ambient gas or liquid and the solid material.
In wet-type mechanochemical polishing (MCP)/chemical mechanical polishing (CMP), sufficient information is available that suggests these phenomena occur depending on the selection of workpiece materials, abrasives, and reagent.
In accordance with the definition of the mechanochemical phenomenon, the final finishing process of workpieces can be advantageously carried out by creating an ambient atmosphere in which chemical reactions occur in the case of conventional polishing. In other words, when viewed micrographically, it is presumed that abrasives mechanically act upon the work surfaces inducing high pressure and high temperature at its contacting areas. This presumption suggests a large chance of producing changes in the physical and chemical properties of the processing surfaces. As a consequence, by placing such substances in a processing atmosphere that initiates chemical reactions, polishing accompanied by mechanochemical phenomenon, namely MCP/CMP is realized.
With regard to chemical reactions, any solid phase reaction, solid-liquid phase reaction, or solid-gas phase reaction is applicable. Dry-type MCP for sapphire with SiO2 fine particles is a typical example of the solid phase reactions. On the other hand, wet-type MCP/CMP for Si single crystals with alkaline reagents, demonstrates solid-liquid phase reactions. This wet-type MCP/CMP has a mitigating effect on some mechanical actions and will prevent the generation of polishing defects, such as scratches, if the appropriate environmental conditions are provided. However, because soft polishers (pads) are generally used to produce high-quality, strain-free, mirror-like surfaces, edge-turndown becomes predominant in comparison with dry-type MCP (see Figure 6.26). Wet-type MCP/CMP is diverted from the Si MCP of copper replacement type [43].
By utilizing replacement reactions between Si and Cu, the chemical reactions are accelerated at the same instant that the Cu ion precipitates on the processing surface, using a mixed solution of NH4F and Cu(NO3)2, and is mechanically removed by a soft polisher (pad). Currently, this method is not applied to the polishing of a final finishing process of Si wafer for LSI due to some problems arising on the residual Cu ion and surface roughness (smoothness), although the processing efficiency is high.
In general, processing efficiency and processing precision/depth of damaged layers are reciprocally related. For polishing processes where chemical reactions are incorporated into the processing mechanisms such as wet-type MCP/CMP, the greater the chemical reactions the greater the processing efficiency with only a few damaged layers. However, the processing surface precision decreases. Therefore, good precision quality has to be achieved by controlling chemical reactions to some degree. Figure 6.29 shows the general characteristics of a wet-type mechanochemical polishing (MCP)/chemical mechanical polishing (CMP).
The following section on wet-type MCP/CMP called mechanochemical polishing or chemical mechanical polishing is based on some crystalline materials as an example.

Mechanochemical Polishing (MCP)/Chemical Mechanical Polishing (CMP) of Silicon Wafer for Semiconductor

Wet-type mechanochemical polishing of Si wafer for LSI has proved effective as a high-efficiency, strain-free, mirror-like polishing and is technically recognized as the best polishing method. When referring to the DRAM of M, G and T bit order for ULSI, because the minimum pattern rule of the circuits becomes half submicrometers, a demand for higher-precision for Si wafer increases. In general, Si wafers are polished using an artificial leather-made polisher and a slurry made from ultrafine SiO2 particles (approximately φ100 Å) suspended in an alkaline solution of approximately pH 10. Actually, first, second, third, and even fourth polishings are carried out with a combination of slurry and polisher (pad) selected to the best of each step (see Table 6.6).

Table 6.6

Polishing Conditions for Bare Silicon Wafers

Processing conditions
Porcess
Slurry
(polishing agent, abrasives)
Pad
(polisher, polishing pad)
Polishing pressureStock of removalTarget
First polishingSiO2 type abrasives (colloidal silica, pH 10–11)
Particle size: 50–100 nm
Polishing agent: Alkaline solution/Amine or KOH base
Polyurethane impregnated polyester nonwoven cloth (hard type)
image
30–40 kPa10∼15 μm (30–50 min)-High efficiency
-Smooth mirror surface (2-3 nmRz)
Second polishingSiO2 type abrasives (colloidal silica, pH 10–11):
Particle size: 50–80 nm
Polishing agent: Alkaline solution/Amine or KOH base
Polyester nonwoven cloth (semihard type)
image
30–35 kPa1–2 μm (3–5 min)-OSF-free*
-Improvement of surface roughness (1-2 nmRz)
-Microscratch free
Third-Forth polishingSiO2 type abrasives (colloidal silica, pH10):
Particle size: 35–50 nm
Polishing agent: Amine/Ammonia solution Additive: Polymer/lubricant(ex. CMC)
Foam polyurethane/artificial leather (soft type)
image
10 kPa or less0.1–0.3 μm (3–5 min)-Haze free
-Contamination free
-Surface roughness (1 nmRz)


* OSF: Oxidation-induced stacking fault

Figure 6.30 illustrates the relation between atmosphere temperature of carious processing surfaces (temperature of slurry, polisher (pad) surface, etc.) and removal rate. Mechanochemical polishing rate VMCP makes an exponential increase as the temperature of the polisher (pad) surface increases—about 55 μm/h at 50°C, which is approximately three times that of room temperature. Similarly, a disk-type chemical polishing rate VD-CP without abrasives also increases as the temperature of the polisher (pad) surface increases; however, at room temperature, its polishing rate is as low as 1/20 that of VMCP. We see here the big role that abrasives in slurry play on VMCP.
image
Figure 6.30 Effect of polisher surface temperature on removal rate
The synergetic effects of the mechanical actions of particles and the chemical actions of reagents are clear.
The Si wafers, using colloidal silica with ultrafine particles (SiO2) suspended in alkaline solution (reagent), are polished to a high-quality surface with surface roughness Rz of 20–10 Å and flatness of about 1 μm without any resultant defect from the processing.
The MCP method is widely applied to the strain-free, mirror-like finishing of compound semiconductors such as GaAs, InP, and CdTe for high-speed LSI, optical IC, and various kinds of sensors. The polishing of wafers for compound semiconductors will be discussed later.

Processing Mechanism

In the processing system of this wet-type MCP, removal rate V (μm/h) excluding VM is expressed by applying the Arrhenius equation:

V=V0expER(t+T)

image (6.2)

=V0expE0E*RT

image (6.3)
where R is the gas constant, T i's the temperature (K) of the reaction system,  T is the temperature increased during the processing (K,O <  T/T < 1), and E0 stands for the activation energy (kcal/ mol) inherent in the reaction system of the slurry and workpieces used. E* corresponds to the amount (kcal/mol) that the activation energy E0 is apparently lowered as a result of the “thermal energy” generated from the frictional heat or the “strain energy” generated from the frictional heat or ’ produced by the mechanical actions of the fine particles on the surfaces. V0 here is the constant and can be considered as the removal rate when E0 = E* or T is ∞. According to equation (6.3), the processing efficiency improves as the temperature of the processing system increases, and as the apparent activation energy (E0 – E*) is lowered by the strain or friction heat produced by the increasingly strong mechanical actions of the particles.
Figure 6.31 shows the removal rate (Arrhenius-plotted) by changing the temperature of the polisher surface. The graph supports the previous discussions and shows that the apparent activation energy decreases (inclination of straight line is reduced) as the concentration of abrasives or processing pressure increases.
image
Figure 6.31 Temperature effect on removal rate (Arrhenius pots)
We will determine by what factors a certain amount (E*) of the apparent activation energy was dropped. Calculating the processing strain energy backward from the experiment of etching on mechanical polishing surfaces with damaged layers, it is presumed to be below 1% of the activation energy E0. Accordingly, E* can be considered to be the thermal energy caused by the friction between the abrasive grains and the polisher.
Figure 6.32 illustrates the results of the investigation of the correlation between the calorific heat value Q calculated from the frictional force F (obtained by measuring the horizontal frictional resistance during the polishing process) and the thermal energy E (kcal/h) obtained based on E*. E and Q are in the corresponding relation:

E=αQβ

image (6.4)
image
Figure 6.32 Relation between frictional heat Q and thermal energy E
The coefficient α indicates the conversion rate of the calorific heat value Q, which becomes thermal energy E to contribute to the processing.
Subsequently, the frictional heat between abrasives and Si wafer surfaces has attracted attention. Judging from the fact that the removal rate VMCP is not dependent upon the hardness or the size of the abrasives, then, the cause of friction can be considered attributable not to the simple scratching actions but to the adhesion. Adhesion easily occurs when two materials’ mechanical properties are similar to each other or are composed of the same kinds of material that mutually exert sliding motions. An experimental mechanochemical polishing of Si wafer will be carried out using as abrasives the fine Si particles (approximately φ100∼200 Å) of the same quality as the Si wafer sample and considered to be most easily adhered.
Figure 6.33 shows the comparison between the removal rate VMCP and VM of carious abrasive grains, each contained in the slurry of pH 12 and pure water (pH 6). Although the removal rate VM with Si fine particles is almost none, when the chemical action ratio is compared, it is observed to be in the relation of γ (Si)> γ (ZrO2) > γ (SiO2). When Si fine particles are used, VM in particular approaches zero (i.e., γ >99), which is attributed to the adhesion between the Si wafer (sample) and Si fine particles. Consequently, few scratches are supposed to be produced on the processing surfaces.
image
Figure 6.33 Comparison between removal rate vMCP and vM of various abrasives
Figure 6.34 shows the comparison of the mechanochemical polishing rates VMCP of Si wafers between the two different slurries: one with colloidal silica (φ100∼200 Å fine particles suspended in the alkaline solution of pH 11) that is used as a slurry for the final finishing process of Si wafers, and another with colloidal silica and the aforementioned Si fine particles. The effect is obvious from the comparison showing that by adding Si fine particles, the removal rate easily doubles over that of the slurry without Si particles.
image
Figure 6.34 Comparison of polishing rate for slurry (A) with the slurry (B)
After mechanochemical polishing, scratch-free, mirror-like surfaces with the roughness Rz of some 10 Å are achieved. In order to evaluate the crystallization of the surfaces, an OS-check (microscopic observation after Wright etching and after heat treatment at 1100°C for 2 h) was carried out and the surfaces were confirmed to be free of oxidation-induced stacking fault (OSF).
Figure 6.25 illustrates the activation factors of the chemical action speed VC of the working area of abrasive grains in relation to the MCP of the Si crystals mentioned in the preceding paragraphs. According to the study made so far, strain energy is approximately 1% of E0 and almost all of E* is thermal energy. Furthermore, it is likely that the E* is an exothermic action produced from the adhesion and separation of the crystals (workpieces) from the abrasives.

MCP/CMP of Wafers for Compound Semiconductors

For the polishing of wafers for compound semiconductors such as GaAs, InP, and CdTe, a mixed solution of bromine (Br) and methanol or a sodium hypochlorite (NaClO) solution have conventionally been used. These solutions have the following characteristics: (a) they have a short life, (b) they emit irritating odors due to their strong oxidative state, and (c) they require special polishing equipment, working environments, and facilities.
Under these circumstances, the authors have been working to develop a new harmless, high-efficiency slurry based on the processing mechanism of mechanochemical polishing. The following describes MCP of wafers for compound semiconductors by using GaAs and CdTe crystals.
1. GaAs Crystal Wafer [44]. Considering the common points in the conventional slurries applied so far to the GaAs crystals, it has been revealed that slurries are oxidizing agents containing either Na or Br. The research has shown sodium bromate (NaBrO2), which is inexpensive and used as a desizing agent for fiber processing and as a slime control agent for industrial water, does not produce a toxic gas, and its oxidization action is mild.
When disk-type chemical polishing was carried out only with NaBrO2 solution, reaction products partially left a film, while a smooth mirror-like surface was obtained.
Furthermore, when fine particles are mixed in, the reaction products are positively wiped off, thus achieving a high efficiency. Figure 6.35 shows the mechanochemical polishing rate of GaAs crystals with the slurry of NaBrO2 solution (0.6% of NaOH included) to which the DN agent and SiO2 fine particles were added. As expected, the removal rate increases as the size of SiO2 particles become large. With this mixed slurry applied to the polishing of a GaAs wafer, a surface toughness Rz of below 10 was obtained as shown in Figure 6.36.
image
Figure 6.35 Relation between SiO2 particle size, polishing rate, and surface roughness when using β-slurry (Specimen: GaAs)
image
Figure 6.36 Comparison of surface roughness by the new polishing slurry (γ-Slurry): (C4H9)4N BrO2 solution + SiO2: (a), with cloven surface, (b) specimen: GaAs

Based on these polishing characteristics, the processing mechanism is as follows.

Both Ga and As are oxidized by the NaBrO2 solution and produce gallium Oxide (Ga2O3) and diarsenic pentoxide (As2O5) as a reaction product, respectively.
2GaAs+4NaBrO2Ga2O3+As2O5+4NaBr
image (6.5)
Immediately after the As2O5 is produced, it is converted into sodium arsenate (Na3AsO4) by NaOH.
As2O5+6NaOH2Na3AsO4+3H2O
image (6.6)
Ga2O3 becomes gallium hydroxide Ga(OH)3 by alkali hydroxide.

Ga2O3+6OH2Ga(OH)3+3O2

image (6.7)

This Ga(OH)3 easily dissolves in sodium hydroxide.

During these reactions equations (6.5) ~ (6.7) and while surface activity penetration function is working, the chemical actions are further accelerated by the mechanical action of the added fine particles and the frictional exoergic reaction with the processing surface.

From this processing mechanism of GaAs, a slurry with an oxidant to which a small quantity of alkali hydroxide is added is basically effective for the mechanochemical polishing of GaAs crystals. Achieving high-quality surfaces by adding a DN agent with a surface penetration function allows for removal of the reaction products (films, stains) from the surfaces.

An experimental polishing of GaAs has been made using as a slurry an oxidant H2O2 with a small quantity of NaOH. Figure 6.37 is an example of the processing characteristics with a H2O2 – NaOH type solution to which a DN agent is added. Maximum removal rate is given at about pH = 10. Thus, similar removal rate and surface quality to those of NaBrO2-type solutions have been obtained. However, although SiO2 fine particles are added, the increase of the removal rate will not be as remarkable as that of NaBrO2 solution, presumably because reaction products are not formed on the surfaces as solidly as NaBrO2-type solutions.

image
Figure 6.37 Example of polishing characteristics of GaAs using a slurry containing H2O2 (oxidizing agent), NaOH (alkali), and DN agent (nonionic solvent)
2. CdTe Crystal Wafer [45]. CdTe crystals are compound semiconductors belonging to the II–VI group while GaAs crystals belong to the III–V group. CdTe has come into focus particularly as an infrared/radiation detector, light emitting diode, and a solar battery substrate. CdTe crystals, like GaAs crystals, have a marked cleavage and are soft (Mohs hardness of GaAs and CdTe is 4.5 and 2.8, respectively).
When establishing a selection guide of polishing slurries for CdTe crystals based on the processing mechanism of CaAs crystals, processing solutions that oxidize CdTe surfaces are required. An assumption was made to remove (with a polisher or fine particles) the oxide, like CdTeO3, formed by such a processing solution.
Figure 6.38 indicates the removal rate of the CdTe crystals when the oxidizing bleaching agent that is harmless and commonly used at home is primarily used; it clearly shows the effect of the oxidizing bleaching agent and fine particles (SiO2). Through the microscopic observation of the processed surfaces, it has been found that more uniform surfaces were produced when DN added-polishing slutty was used as in the mechanochemical polishing of GaAs wafers. However, its effect is not as obvious as that of GaAs crystals. The surface roughness Ry was below 2 nm, which means that better surface roughness has been efficiently obtained when compared to the conventional polishing slurry.
image
Figure 6.38 Polishing rate by various slurries (Workpiece: CdTe)

From the processing experiments of CdTe crystals and the results of its chemical analysis, the following will be drawn as a processing mechanism to the mechanochemical polishing of CdTe crystals.

First, by creating an atmosphere that makes the CdTe surface easily oxidized by a DN agent, and finally some kind of reaction product is deposited on the surface. Then, oxide films or reaction products deposited on the surface are mechanically removed by abrasive grains or a polisher. By repeating these processes, a high-quality surface is produces.

We have discussed the processing mechanism of GaAs and CdTe crystals for compound semiconductors and drew a conclusion that its processing mechanism is basically the same as that of the mechanochemical polishing of Si crystals.

Colloidal Silica Polishing

Basic Processing Characteristics

Table 6.7 shows an example of the composition and properties of colloidal silica. In this alkaline solution (pH 9.5–10.5), SiO2 fine particles (of φ100∼200 Å) are suspended by 50 wt%. In general, gelling of colloidal silica is controlled by the addition of alcohol. By the addition of salt, like sodium sulfate (Na2SO4), gelling is accelerated.

Table 6.7

Composition of Colloidal Silica and its Characteristics (for the First Polishing)

SiO2 content50 wt% (φ 100∼200 Å)
NaO2 contentbelow 0.4
Hydrogen ion exponent (pH)10.8∼11.2
Particle diameter (at 20°C)below 25 CP
Specific gravity (at 20°C)1.36∼1.40
Appearancetransparent milky colloidal solution
Freezing point0°C
Stabilitysemipermanent
TEM photo of colloidal silicaimage
(transmission electron microscope)


The relationship between the processing pressures and the removal rates of polishing are shown in Figure 6.39, using colloidal silica on a variety of single crystals such as GGG, LiTaO3, Si, and sapphire, which are chemically and mechanically stable. Removal rates of all crystals increase in proportion to the increase of processing pressures; particularly high pressures were needed for the hard sapphire single crystals.
image
Figure 6.39 Relation between polishing pressure and polishing rate of various single crystals using colloidal silica
Referring to the removal rate shown in Figure 6.35 as a parameter, the relation between Vickers hardness of several crystal and processing pressures necessary to obtain a given constant removal rate is illustrated in Figure 6.40. In the case of Si single crystal recognized clearly as affected by the chemical action of the alkaline solution, the stock of removal in the figure is considerably off the straight line, achieving as a sequence a high removal rate at low polishing pressure. For the rest of the crystals, the polishing pressure P (kg/mm2) necessary to obtain the removal rate V (μm/h) is expressed in the following equation.

P=104exp(K1·HV)

image (6.8)
image
Figure 6.40 Relation between necessary processing pressure and Vickers hardness of various kinds of crystals
where K1 is a constant determined by the processing conditions and HV is Vickers hardness (kg/mm2). The constant K1 can be obtained with the equation, K1 = 2 × 10-4V + 1.4 × 10−3, and when its relation is substituted for the equation (6.8), it will be expressed as:

V=5×103(1Hvln104P1.4×103)

image (6.9)
Consequently, the removal rate V of various crystals using colloidal silica is inversely proportional to the Vickers hardness HV of crystals. This result is quite similar to the tendency of abrasion loss produced by cohesion or ploughing abrasion that is proportional to the inverse number of the hardness HV.
In the case of polishing with colloidal silica, almost no mechanochemical effect has been recognized with the exception of Si single crystal; however, it has been understood that with microremoval actions mechanically induced by soft SiO2 fine particles, strain-free polishing is performed as described in the previous chapter.
Based on the processing mechanism, we have also studied high-efficiency polishing. During polishing, frictional heat or a strong shearing stress is imposed under high pressure on an ultrathin film-like colloidal silica found between a polisher and crystal substrate (sample). If such situations are created, it is likely that a reversible gelling phenomenon (dilatancy) temporarily appears as is often seen in colloids. If so, we think that the retaining force of SiO2 fine particles were retained or fixed in the slurry, which will help to efficiently and effectively promote polishing.
Figure 6.41 compares the processing characteristics after adjusting the gelling speed of the colloidal silica as slurry by adding sodium sulfate (Na2SO4) or ethylene glycol. When sodium sulfate is added to colloidal silica, the removal rate increases as the ratio of the addition increases. To the contrary, when ethylene glycol is added, the removal rate decreases by 10%–20%.
image
Figure 6.41 Comparative processing rates of sapphire single crystals at different gelation rates of colloidal silica

Applications to Functional Materials

1. Silicon Wafer for ULSI. Colloidal silica polishing is typically used and highly ranked for the finishing process of the silicon wafers for ULSI. This process produces excellent, high-efficiency, and strain-free mirror-like surfaces. As the design rule of circuits in LSI has become submicroscopic, requirements for the processing precision of silicon wafers has become more strict. As shown in Table 6.6, first to third and sometimes fourth polishes are currently performed for φ 8–12 wafers aiming to accomplish surface roughness Ry of 1 nm, total thickness variation (TTV) of 1–2 μm, and flatness of 1–3 μm.
Because a silicon wafer is active against alkali, processing is promoted with the superimposing actions of a colloidal effect combined with the mechanochemical effect.
2. Sapphire Single Crystal Substrate for Silicon on Sapphire (SOS). The following example shows the evaluations made on the crystallization of the surfaces of a sapphire single crystal substrate for SOS processed by colloidal silica polishing.
The surface roughness Rz of the sapphire single crystal polished with colloidal silica was found to be about 10 Å, which proved to have been processed in the order of an atom or molecule. Figure 6.42 shows the results of an electronic microscopic observation of the surfaces polished with colloidal silica and conventional diamond grains (2/6 μm), on which a silicon thin film of 3000 Å was epitaxial grown. The surface polished with colloidal silica showed no defect from the processing when compared with the diamond-polished surface. As per the resistivity measurement of the Si thin film deposited on the sapphire substrate, an excellent polished surface of the base substrate was obtained with a uniform film thickness of 10 cm of p-type.
image
Figure 6.42 Example of scanning electron microscope (SEM) photographs of epitaxial grown Si thin films on sapphire single crystals treated in various ways
3. Application to the Shaping Process of LaB6 Single Crystal for Electronic Gun. LaB6 single crystals are used as a cathode material due to the thermal radiation characteristics inherent to its structural properties. In order to use this kind of single crystal as a cathode for electron beam analyzers such as TEM and AES or electron beam exposure equipment, finishing the tip to a specified shape and to a smooth mirror-like surface without damaged layers is necessary.
LaB6 single crystals are dissolved by a strong oxidative acid or dilute sulfuric acid. It is stable also against alkali. Although the cleavage is noticeable, LaB6 single crystal is mechanically hard with a hardness of 2660/mm2 (microhardness).
Figure 6.43 is one of the electron microscopic photos showing the tips of LaB6 single crystals (0.65 × 0.6 × 2.2 mm chip) finished to a variety of shapes with colloidal silica using a relatively hard plastic polisher. Compared to the surfaces processed by conventional diamond polishing, it has scratch-free, smooth surfaces.
image
Figure 6.43 Strain-free mirror-like surface finished by colloidal silica polishing technique and conventional processing technique of LaB6 single crystal chip for an electron gun

We have also investigated the processing defects of LaB6 crystal surfaces finished with colloidal silica through etching (dilute HNO3 solution); we detected no defects (like latent scratches) arising from the processing. The polished surfaces were also found to be strain-free and of high quality.

An electron gun was experimentally manufactured to apply this method (Figure 6.44); it was afterwards subjected to the evaluation of its characteristics and confirmed to have excellent electron radiation characteristics.

image
Figure 6.44 Example of an electron gun experimentally manufactured to apply colloidal silica polishing
4. Strain-Free, Mirror-Like Polishing of LiTaO3 Single Crystal Substrates for Epitaxial Growth. In microscopic photos of the surfaces of LiTaO3 single crystals for optical components shown in Figure 6.45, each was polished by colloidal silica or conventional pitch-polishing, and then another set of surfaces similarly polished on which films were epitaxial grown are shown. As seen from Figure 6.45a, the surface polished with this polishing method demonstrates crystalline defect-free, high-quality epitaxial film. In the case of the conventional method in Figure 6.45b, a large number of crystalline defects were produced.
image
Figure 6.45 Photographs of surface and epitaxial growth film of LiTaO3 single crystal polished by present and conventional processing techniques

With regard to the surfaces polished using the conventional method, it has been shown that only a few micrometers etching can produce variation  α according to the relationship between the depth etched by melt back and the variation amount  α to the lattice constant (α = 5.153 Å) of a bulk. On the other hand, the polished surfaces with colloidal silica are capable of obtaining a strain-free ( α = 0) epitaxial film even without undergoing etching, proving that the surfaces polished with colloidal silica polishing are completely strain-free and without damage.

6.6. Ultrasonic Lapping

Osamu Ohnishi

Introduction

Sound waves that have a frequency beyond the range of human hearing are known as ultrasonic waves. Although individual differences are present in the audible frequency, it is generally considered that the upper limit to human hearing is 20 kHz. Therefore, ultrasonic vibration has a frequency above 20 kHz.
Ultrasonic transducers that generate ultrasonic vibrations often make use of materials showing a piezoelectric effect, or a magnetostrictive effect. The Langevin-type transducer, which uses piezoelectric materials, was developed by the French scientist Paul Langevin [46] in 1917 and is still the main transducer used today. Figure 6.46 shows the structure of a Langevin transducer. In this structure, a piezoelectric material is sandwiched between two metal plates. This transducer is fixed with adhesive that may come off in use. Also, the piezoelectric material itself is resistant to compression, but is weak in tension, so the bolted Langevin transducer (BLT) shown in Figure 6.47 was developed. Because it is tightened by bolts, the piezoelectric material is always under a compressive stress. Superior piezoelectric materials such as PZT, LiNbO3, and LiTaO3 are used today.
image
Figure 6.46 Langevin transducer
image
Figure 6.47 Bolted Langevin transducer
Techniques using ultrasonic vibrations are applied in many fields, one of which is that machining. For example, they are used in this field for turning, drilling, grinding, and lapping. [4750] Ultrasonic lapping, which is discussed in this section, is a material removal method that uses loose abrasive grains, and is also known as ultrasonic machining. The following discussion covers the basis and application of processing with ultrasonic vibration.

Material Removal Mechanism in Ultrasonic Lapping

Figure 6.48 shows the structure of an ultrasonic machining apparatus along with a detailed view of the machining portion. The amplitude of vibration generated by the ultrasonic transducer is small and is therefore amplified by a horn to produce effective ultrasonic vibrations of the desired amplitude at the tool tip.
image
Figure 6.48 Structure of ultrasonic machining apparatus and detailed view of the machining portion. (a) Structure of machining apparatus and (b) detail of machining
Slurry containing abrasive grains and working fluid is supplied between the tool tip and the workpiece. Mechanical shocks from the ultrasonic vibration of the tool tip are transmitted through the abrasive grains in the slurry to the surface of the workpiece, causing slight damage of the workpiece. Abrasive grains in the slurry are flicked off from the tool tip and collide with the workpiece surface also causing slight damage. Furthermore, impact from the popping of air bubbles due to cavitation also causes damage on the surface of the workpiece. The amount of material removed from a single collision is insignificant, but because the machining is carried out at a frequency of several tens of kilohertz, material can be removed quickly enough for practical use.
Moreover, because this machining method does not require the tool to be rotated, the shape of the tool can be transferred to the workpiece, as shown in Figure 6.49[51]. Accordingly, noncircular holes can also be machined easily.
image
Figure 6.49 Examples of ultrasonic machining. (a) Circular hole boring (b) Noncircular hole boring (c) Simultaneous boring of multiple holes (d) Cut out

Characteristics of Ultrasonic Lapping

Ultrasonic machining commonly uses SiC, B4C, diamond, or the like as abrasive grains, and is used for machining hard and brittle materials such as glass, silicon, and sapphire. The use of ultrasonic machining results in relatively little layer damage or distortion. In ultrasonic machining, however, a gap is always present between the tool and the workpiece. Furthermore, a tool becomes worn as the machining progresses. Therefore we must be aware that the tool dimensions are not transferred to the workpiece directly.

Application of Ultrasonic Vibration

The ultrasonic machining described in this section is often used for machining holes in hard and brittle materials. On the other hand, ultrasonic vibration can also be used for drilling holes with high precision in ductile materials [52].
In hole making using a drill, ultrasonic vibration–assisted methods basically include a method for providing vibrations in the circumferential direction of the drill and a method for providing vibrations in the axial direction of the drill.
In the case of the former method, as with usual ultrasonic cutting, the vibrational direction is the direction of primary motion, as shown in Figure 6.50a, and the advantages seen with usual ultrasonic cutting are confirmed. However, the vibrational amplitude varies depending on the radius of the drill, and this method is said to be most effective when machining a hole of relatively large diameter or when a pilot hole exists.
image
Figure 6.50 Method of vibration-assisted drilling. (a) Circumferential direction vibration (b) Axial direction vibration
In the case of the latter method, the direction of vibration is the direction of the feed motion, as shown in Figure 6.50b. This method is often used when drilling with a drill is being assisted with ultrasonic vibrations. With this method, as a result of (1) the hammering motion of the chisel edge, (2) reduction of cumulative displacement of drill due to intermittent cutting, (3) reduced friction, (4) a modified cutting state resulting from the vibrational velocity component being added to the normal cutting motion, and so on, the skidding and the wandering of a drill at the hole entrance are reduced, cutting resistance is reduced, generated chips are discharged easily, adhesion is minimized, and so on. As a result, machining accuracy is improved beyond that of conventional drilling with drills, the tool life of the drill is extended, deep holes can be drilled without step feed, and bushless machining can be performed on an inclined surface. Figure 6.51 shows the results of bushless machining performed on the inclined surface of an aluminum alloy [53]. With this method, however, it is necessary to consider wear and damage of the drill due to chisel edge hammering and interference imposed on the workpiece surface by the relief surface of drill.
image
Figure 6.51 Hole entrances drilled into inclined surface [53]
The effect of this ultrasonic drilling can be verified even when the drill diameter is small. As an example, we present an illustrative case in which ultrasonic drilling was performed on a titanium alloy (Ti-6Al-4V) using a micro flat drill with a diameter of 5 μm [54]. Ultrasonic vibrations were applied to the workpiece in the axial direction of the drill. Figure 6.52 shows photographs of the entrances to drilled holes. When not assisted by ultrasonic vibration, the drill would break at the first hole, but when assisted by ultrasonic vibration, the holes were made without the drill breaking. Even under other conditions, hole machining was entirely unsuccessful when not assisted by ultrasonic vibration. When assisted by ultrasonic vibration, however, 50 holes could be machined at a feed rate of 1 μm/rev and 119 holes could be machined at a feed rate of 0.5 μm/rev. These results indicate that ultrasonic vibrations are effective for machining holes that are small in diameter.
image
Figure 6.52 Holes machined by micro drill [54]. (a) Without ultrasonic vibration (b) With ultrasonic vibration

6.7. Abrasive Flow Machining

Eckart Uhlmann and Arne Dethlefs

Process Fundamentals

Abrasive flow machining (AFM) is a fine grinding process with rather low material removal rates. AFM is mainly used for polishing, deburring, and defined edge rounding and, due to the fact that a viscous carrier for the abrasive media is used, can be used to machine difficult-to-access cavities, inner contours, and undercuts in a reproducible manner [52]. Today AFM has found many applications in different industries such as automotive, aerospace, and mold and die making.
The machining process consists basically of the steps schematically described in Figure 6.53. Before processing, the abrasive medium is filled into the lower cylinder of the machine, after which the workpiece is placed into a specifically designed fixture and clamped between the upper and lower cylinder. The fixture has to fulfill several requirements: To clamp the workpiece safely in the media flow, to seal the system against leakage of the medium, and to ensure a controlled media flow in the closed system. Inside the closed system, the abrasive medium is first heated to working temperature and then pressed along the workpiece contours and cavities. Here, the design of the fixture plays an important role in controlling which parts of the workpieces are machined with what intensity. The machining process is repeated in an alternating up-and-down movement of the pistons until the machining time needed to obtain the desired result is reached.
image
Figure 6.53 Process principle during abrasive flow machining [52]
The abrasive medium used in AFM usually consists of a polymeric carrier material and abrasive grains. Depending on the machining task, a carrier to abrasive grain ratio of between 1:1 and 1:2 is chosen. The carrier is characterized by high viscosity and strongly non-Newtonian behavior. The high general viscosity ensures that even large abrasive grains, with diameters up to 1 mm, will stay evenly distributed throughout the medium under working conditions. The viscoelastic behavior of the carrier in AFM is used to ensure lower viscosity at low shear rates, which occur along flat surfaces and a high viscosity when higher shear rates are applied, such as in small cavities and around sharp corners. A higher viscosity will lead to higher material removal rates (MRR). The viscoelastic behavior and thus the local MRR can be adjusted with additives. A wide range of abrasive grains can be used in AFM, such as silicon carbides, boron carbides and diamonds. Grain sizes vary between 10 μm and 1 mm, depending on the requirements of the workpieces and the size of their features.

AFM of Ceramics

A great potential lies in the AFM of ceramic materials, due to the ability of the process to remove material layer by layer with well-defined edge rounding. Investigations have been carried out on a wide range of commercially available ceramic materials [53]. Machining of highly wear-resistant materials is feasible using an AFM medium containing superabrasives such as polycrystalline diamond D46 (DIN69101) and a carrier-to-abrasives weight ratio of 1:2. The material removal mechanism and typical surfaces formed during machining can be observed in Figure 6.54, showing scanning electron microscopy (SEM) images of workpieces made of aluminum oxide (Al2O3) with planar surfaces after varying machining times.
image
Figure 6.54 SEM images of the surface formation on aluminum oxide
The SEM images show that, by using AFM, layers of material are removed in a gentle manner with a mainly ductile material removal mechanism. As a result of the machining process, typical washed-out surface textures are created, while nondirectional traces, grain boundaries, and edges of microcracks have been smoothed out. The layer-by-layer removal of the surface leads to the uncovering of existing imperfections beneath the surface, while grains within the workpiece are broken off only when their grain boundaries are close to failure.
Figure 6.55 shows a comparison of different ceramics and their improvement in surface roughness due to AFM. The most significant improvements can be achieved within the first few minutes of the process. It can also be observed that the attainable surface quality depends on the size of the abrasive grains used as well as the fracture toughness of the ceramic.
image
Figure 6.55 Average roughness Ra over processing time of different ceramic materials
Along with the pressure and the abrasive medium used, temperature plays an important role in controlling the AFM process. In general, higher temperatures will lead to a decreasing viscosity of the medium, which causes lower material removal rates. On the other hand, material removal rates can be increased by raising the pressure and reducing the flow cross-section in the fixture, due to an increase in the fluid’s velocity. With knowledge of these dependencies it is possible to design processes for complex-shaped ceramic workpieces. Nevertheless, process design in AFM is often still based on empirical studies and personal experience. Newest developments in process design use computational fluid dynamics (CFD) to correlate the results of empirical studies with results from a simulated flow of the abrasive medium [54].
The most important step in modeling the flow during AFM with CFD is describing the medium’s viscoelastic properties in a suitable material model. First, values for the viscosity depending on the shear rate are measured with a rheometer. Then, under the assumption of a one-phase fluid as the abrasive medium, the viscoelastic behavior can be described with the Ostwald–de-Waele-model as exponentially decreasing over the shear rate. With a known material model for an abrasive medium, the flow along simply shaped geometries in the AFM process is simulated, taking boundary conditions such as the applied pressure and the initial temperature into consideration. As a result, from these simulations, the values for pressure and velocity are known locally along the workpiece. These values can be correlated with the results, such as surface roughness or edge rounding, from actual machining experiments on simply shaped parts. These correlations can be used to predict the results for AFM of complex-shaped parts if the local values for velocity and pressure are known from CFD simulations [54]. This new method for process design can help accelerate process optimization for AFM and reduce its costs, especially in productions with small batch sizes.

Future Prospects

The process model described here has so far been validated for a transfer of results between ceramic cutting inserts as the simple geometry and ceramic thread guides as the complex geometry. To apply the process model to a wider range of parts and materials, more empirical data need to be collected and improvements made to the model used in CFD. Furthermore a transfer of the process model into the industry should be carried out and will lead to an improved process design and better possibilities for process optimization.

References

[1]  Kasai T. 4. Ultraprecision polishing, Foundation, Ultraprecision Manufacturing Technology (in Japanese). Fuji-technosystem Ltd.; 1995:266.

[2]  Kasai T, Horio K, Doy KT. Lapping and polishing characteristics of soft material tool use, sensors and materials. MY. 1989;2:301 312.

[3]  Kasai T. 6. Lapping and Polishing, Ultraprecision Machining Technology, Kumagaya Memorial Committee Meeting. Japan Society of Grinding Engineers (in Japanese); 1984:451.

[4]  Taniguchi N. The state of the art of nanotechnology for processing of ultraprecision and ultra fine products, 1993 ASPE distinguished lecture. Prec Eng. 1994;16(1):5 24.

[5]  Nakamura N. 1-4 Grinding-Lapping & Polishing, Optical Production Technology’93 (in Japanese), Japan Optoelectro-Mechanics Association; 1993, p. 58–123.

[6]  Ywyman F. Prism and lens making. 2nd ed. Hilger & Watts Ltd., Co; 1952:p. 363.

[7]  Rumsayj F. The effect of eccentric loading of optical flat during grinding or polishing. J Sci Instrum. 1955;32(9):338 339.

[8]  Kasai T, Noda J. Improvement of parallelism in lapping or polishing using eccentrically distributed loading, study on free abrasives machining flat and parallel planes (3rd report) (in Japanese). J. Jpn Soc Prec Eng. 1968;44(9):1060 1064.

[9]  Noda J, Kasai T and Maj., Devices for preparing oriented crystal surfaces by lapping. Review of the Electric Communication Laboratories, NIT Public Corporation. 1963; 1(12): 69–66.

[10]  Shinmura T, Aizawa T. Study on a new finishing process of fine ceramic by magnetic abrasive machining development of diamond magnetic abrasives and its finishing performances (in Japanese). J Jpn Soc Grind Eng. 1992;36(2):90 98.

[11]  Yanigguchi H, Shinmura T. Study on a new internal finishing process by the application of magnetic abrasive machining, discussion of the cylindricity (in Japanese). J Jpn Soc Prec Eng. 1995;61(6):996 1000.

[12]  Kasai T, Suzuki J. Lapping characteristics of LiTaO3 single crystal, Study on precision machining of octo-electronic crystals (1st report), (in Japanese). J Jpn Soc Prec Eng. 1968;44(11):1360 1366.

[13]  Kasai T. Study on Precision Machining Flat Planes, Electric Communication Laboratories Report No. 13634, NTT Public Corporation (in Japanese); 1969. p. 165.

[14]  Ikeda M. Study on lapping mechanism of non-metallic crystals (in Japanese). J Jpn Soc Grind Eng. 1960;14(12):1 153.

[15]  Kasai T, Horio K, Doy KT, Kobayashi A. Improvement of conventional polishing conditions for obtaining super smooth surfaces of glass and metal works. Ann CIRP. 1990;39(1):321 323.

[16]  Izunitani T, Adachi S. Polishing mechanism of fused silica glass, Topical Meeting on the Science of Polishing, Technical Digest, OSA TuB-Al-I-3; 1984.

[17]  Brown Nj. Some Speculations on the Mechanisms of Abrasive Grinding and Polishing. Precision Engineering. 1986;9(3):129.

[18]  Doy KT, Watanabe J. Effect of frictional heat on removal rate in mechanochemical polishing of crystals used in electronics, wear of materials. ASME. 1983;226 234.

[19]  Kasai T, Orioka T. On the high speed polishing of glass using felt plate (5), On the polishing of glass (in Japanese). J Jpn Soc Prec Eng. 1966;33(5):306 311.

[20]  Browm JN, Baker CP, Maney TR. The optional polishing of metals, SPIE’s 25th Annual International Symposium; Aug. 24–28, 1981. San Diego, California.

[21]  Kasai T. Study on Precision Machining of Flat Planes, Electric Communication Laboratories Report No. 13634, NTT Public Corporation, (in Japanese); 1969. p. 45.

[22]  Kasai T, Horio K, Yamazaki T, Komoda M, Doy KD, Kubo N. Polishing to reveal micro-defects on glass. J. Non-Crystal Solids. 1994;166:396 404.

[23]  Kasai T, Horio K, Doy KT, Komoda M, Doy KD, Kobayashi A. Improvement of conventional polishing conditions for obtaining super smooth surfaces of glass and metal works. Ann CIRP. 1990;39(1):321 324.

[24]  Kasai T, Horio K, Doy KT. Polishing characteristics of soft glass (laser glass) The 3rd International Conference on Nanotechnology. Program and Abstracts. 1992; p. 16.

[25]  Otte G. An improved method for the production of optically flat surfaces. J Sci Instrum. 1965;42:911.

[26]  Lerstner A. Progress report on teflon polishing for precision optical flats. App Opt. 1962;11(4):960.

[27]  Bajuk DJ. Computer controlled generation of rotationally symmetric aspheric surfaces optical egg. Opt Eng. 1966;15(5):401.

[28]  Becker K, Beckstette K. M400 and P400-A pair of machines for computer controlled fine correction of optical surfaces. Proceedings of the International Congress for Ultraprecision Technology in Aachen/FRG; 1988. p. 212–223.

[29]  Mori Y, Yamauchi K, Endo E. Elastic emission machining. Prec Eng. 1986;9(3):123 128.

[30]  Namba Y. Mechanism of float polishing, Technical Digest at Topical Meeting on Science of Polishing, OSA Tub-A; 1984.

[31]  Sato Y, Knight JD, Somerday B. Observation and prediction of cavities in a lubricant between non-parallel disks in relative rotation. Tribol Trans. 1992;35(1):160 166.

[32]  Gormley JV, Manfra MJ, Garawa AR. Hydroplane polishing of semiconductor crystals. Rev Sci Instrum. 1981;52(8):1256 1259.

[33]  Kasai T, Kobayashi A. Progressive mechanical and chemical polishing, Technical Digest at Topical Meeting on Science of Polishing, OSA TuB-A; 1984.

[34]  Kasai T, Matsumoto F, Kobayashi A. Newly developed fully automatic polishing machining for obtainable super-smooth surfaces of compound semiconductor wafers. Ann CARP. 1988;36(1):536 540.

[35]  Karaki T, Watanabe J. Effect of frictional heat on removal rate in mechanochemical polishing of crystals used in electronics. Wear of materials. 1983;83:226 234.

[36]  Yasunaga N, et al. Proceedings of the 1st International Conference of Production and Energy. 1961. p. 336

[37]  Karaki-Doy T. Colloidal silica polishing based on micro-mechanical removal action and its application. Sensors Mater. 1988;3:153 166.

[38]  Meri Y. Proceedings of the 3rd International Conference of Production and Energy. 1961. p. 336

[39]  Namba Y, et al. Proceedings of the 4th International Conference of Production and Energy. 1980. p. 1016.

[40]  Fink M, et al. ASLE-ASME Lubrication Conference. 1965;68:65.

[41]  Kubo T. Sci Machine 1961;23(11):1500 (in Japanese).

[42]  Sakurai T. J Jpn Soc Lubrication Energy 1966;11(1):26 (in Japanese).

[43]  Mendel E, Kuei-Hsuing Yang. Polishing of silicon by the cupric ion process. Proceedings of the IEEE. 1969;57:1476 1480.

[44]  Karaki-Doy T, Kageyama T, Kasai T, Nakagawa T. A new precision technique of GaAs single ctystals and its mechnism. Int J JSPE. 1995;29(4):16 22.

[45]  Karaki-Doy T, et al. Advanced materials in optics. Electro-Opt Commun Technol. 1995;541 548.

[46]  Dhar A. Paul Langevin. Resonanc. 2005;10(3):3 5.

[47]  Weber H, Herberger J, Pilz R. Turning of machinable glass ceramics with an ultrasonically vibrated tool. Ann CIRP. 1984;33(1):85 87.

[48]  Takeyama H, Kato S. Burrless drilling by means of ultrasonic vibration. Ann CILP. 1991;40(1):83 86.

[49]  Colwell LV. The effects of high-frequency vibrations in grinding. Trans ASME. 1956;78(4):837 846.

[50]  Pentland EW, Ektermanis JA. Improving ultrasonic machining rates – some feasibility studies. Trans ASME. 1965;87(1):39 46.

[51]  Unno K. Ultrasonic machining. Ultrasonic technology. 1998;10(4):2 8:(in Japanese).

[52]  Onikura H, Ohnishi O, Feng J, Kanda T, Morita T, Bopp U. Effects of ultrasonic vibration on machining accuracy in microdrilling. Int J Jpn Soc Prec Eng. 1996;30(3):210 216.

[53]  Ohnishi O, Onikura H. Effects of ultrasonic vibration on microdrilling into inclined surface. J Jpn Soc Prec Eng. 2003;69(9):1337 1341:(in Japanese).

[54]  Ohnishi O, Onikura H, Aziz M, Tsuruoka S, Min S, Koga T. The effects of ultrasonic vibration on microdrilling performance with a diameter of 5 μm into titanium alloy. Proceedings of the 6th International Conference and 8th General Meeting of the European society for Precision Engineering and Nanotechnology, vol. 2. 2006. pp. 20–23.

..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset
18.117.74.231