Index
A/D conversion
A|RT
ABAX
Achronix
Actel
adaptive coding
adaptive histogram equalisation
adaptive thresholding See thresholding
affine transformation
algorithm development
environment
aliasing
Altera
ALU
Amdahl's law
anti-alias
Apex20K
apodization function
approximation components
arbitration
architecture selection
Arithmetic coding
Arria
Artix
ASC
ASIC
associative memory
asymmetric signed digit
AT40K
Atmel
Axcelerator
Bach-C
background model
background subtraction
bandwidth constraints
bank switching
barrel shift
Bayer pattern
Bayesian classification
bin sort
binary search
binary tree
binning
bipartite tables
bit-reversed
bit-serial
blemish
blob detection
block RAM
Booth multiplication
bottleneck problem
bounding box
B-splines
bubble sort
busses
cache
row buffer
calibration
camera See also sensor
analogue
single chip
three chip
Camera Link
Canny edge detector
canonical signed digit
carry propagation
carry save adder
carry select adder
Catapault C
census transform
centre of gravity
chain code
feature extraction
change detection
character generation
Chinese remainder theorem
CIE L*a*b*
CIE L*u*v*
CIE xyY
CIE XYZ
circular buffer
city block distance
classification
unsupervised
classification failure
clock domain
closing
clustering
CMYK
coding
collimator
colour
balancing
classification
constancy
conversion
correction
detection
enhancement
histogram See also histogram
indexing
normalisation
segmentation
thresholding
tracking
colour filter array See Bayer pattern
colour filtering See filter
colour profile
common sub-expression
communicating sequential processes See CSP
Compaan / Laura
compensated CORDIC
complex multiplication
compositing
compression See coding
computational architecture
computer vision
concavity analysis
concurrency
configuration
configuration file
configuration read-back
conflict arbitration See arbitration
connected components
analysis
labelling
contour integration
contouring
contouring artefacts
contrast enhancement
contrast normalisation
convex hull
co-occurrence matrix
CORDIC
correlation
normalised
phase
covariance
CPLDs
CPU
CSP
cubic spline See interpolation
cumulative histogram See histogram
custom instructions
custom processor
Cyclone
Cypress
Dadda adder tree
dataflow
DDR
De Morgan's theorem
deadlock
deblurring
debugging
decimation in frequency
decimation in time
decision tree
delay locked loop
demosaicing See Bayer pattern
design flow
design patterns
detail components
device driver
digital image
digit-serial
Dijkstra's algorithm
dilation
Dime-C
discrete cosine transform
distance transform
chamfer
Euclidean
geodesic
distortion correction
DMA
domain of convergence
double buffering
double differencing
DSP builder
dual-port
DVI
dynamic memory
dynamic reconfiguration
EasyPath
EBCOT
Eclipse
edge detection
edge enhancement
EDIF
EEPROM
eigen-faces
eigen-image
eigenvectors
embedded system
enclosing rectangle
enhancing
entropy
entropy coding
epipolar lines
erosion
error diffusion
Ethernet
Euclidean distance
Euclidean distance transform
Euler identity
Euler number
exponential
fabric
fabric RAM
false colour
feature detection
feature matching
feature vector
features
FFT
FIFO buffer
filter
anti-alias
box
colour
derivative
DoG
edge detection
edge enhancement
edge orientation
frequency domain
gated
Gaussian
inverse
kernel
line detection
linear
LoG
maximum
median
minimum
morphological
noise
optimal
polyphase
rank
separable
symmetric
temporal
trimmed
finite impulse response
finite state machine
Firewire
fixed-point
flash memory
floating-point
flood fill
flushing
fold-over
forward mapping
Fourier correlation
Fourier descriptors
Fourier transform
foveal vision
FPLIC
fractal
frame buffer
frame grabber
frame rate
conversion
frequency response
fuse-programmable
fuzzy representation
gamma transformation
Gaussian mixture model
geodesic distance
geometric median
glue logic
Goertzel's algorithm
GPU
guard bit
Haar wavelet
Handel-C
HardCopy
hardware breakpoints
hardware engineering
Harvard architecture
hash table
Haydn-C
HDL Coder
heterodyne imaging
HIDE
histogram
2D
back-projection
colour See colour
cumulative
inverse cumulative
multi-dimensional
histogram equalisation
histogram shaping
HLS
homodyne imaging
homogeneity
horizontal blanking
Horner's method
hosted configuration
Hough transform
circle
generalised
line
HSV
Huffman coding
human visual system
hyperbolic functions
I2C
ICAP port
iCE65
IGLOO
illumination, See also lighting
image
analysis
boundary
coding See coding
definition
enhancement
fusion
processing
reconstruction See reconstruction
restoration See restoration
Impulse C
incremental update
infinite impulse response
inspection
instruction pipelining
interconnect
interlaced
interpolation
bicubic
bilinear
cubic spline
kernel
linear
nearest neighbour
optimal
sinc
tri-linear
JHDL
JPEG
JPEG2000
JTAG
Kalman filter
keyboard
Khoros
Kintex
K-means clustering
label
labelling
LabVIEW
laser scanner
latency
Lattice Semiconductor
LatticeECP
LatticeSCP/M
LatticeXP
leaf
lens
distortion See distortion
lifting scheme
lighting, See also illumination
diffuse
structured
linear feedback shift register
linear recurrence generator
linked list
Linux
logarithm
logarithmic number system
logic analyser
logic cell
logical parallelism See parallelism
look-ahead carry
lookup table
2D
bipartite
interpolated
loop unrolling
LVCMOS
LVDS
LVTTL
machine vision
Mahalanobis distance
masking
mask-programmable
massively parallel
MATCH
MathStar
matrix inversion
matrix multiplication
matrix operations
maximal length sequences
medial axis
median filter See filter
medical imaging
memory refresh
metastability
MicroBlaze
minimax polynomial
minimum convex polygon
minterm
mip-map
Mitrion-C
MMX
modelling
motion compensation
mouse
MPEG
multipartite tables
multi-phase
multiplexer tree
NAND flash
NAPA C
neural network
Newton–Raphson
NIOS
noise reduction
non-restoring division
NOR flash
normalise
normalised floating-point
null pointer
Nyquist sampling
object tracking
offset binary
one's complement
opening
optical flow
OR decomposition
panorama
parallelism
logical
spatial
temporal
Partial reconfiguration See reconfiguration
pattern recognition
PCI express
peak detection
perspective distortion
perspective transformation
phase locked loop
phase shear
phase unwrapping
PHY
picoBlaze
piecewise constant
piecewise cubic
piecewise linear
piecewise polynomial
pinhole sensor
pipeline
pixel
PixelStreams
place and route
point operation
polynomial approximation
polyphase filters See filter
power
dynamic
static
PowerPC
preprocessing
Prewitt filter
priming
principal components analysis
priority encoder
ProASIC3
problem knowledge
problem specification
programmable array logic
progressive scan
protocol stack
PS2 protocol
pyramid
image
QDR
quad-port
quadratic convergence
quality factor
quantisation
error
noise
table
Quartz
QuickLogic
random access processing
random number generators
range imaging
rational approximation
raw format
readback
real-time
reciprocal
recognition
face
object
pattern See pattern recognition
reconfigurability
compile-time
partial
run-time
reconstruction
redundancy
redundant arithmetic
redundant representation
region
region growing
region of interest
region of support
registration
Remez's exchange algorithm
remote sensing
renormalisation
requirements analysis
residue number system
resource constraints
resource pool
restoration
retiming
reverse mapping
reversible colour transform
RGB
RGB565
ripple carry
RISC architecture
robot vision
ROCCC
RocketIO
rolling shutter
root
rotation
image
invariant
rotation mode
row buffer See cache
RS-232
RTL
run length coding
SA-C
salt and pepper noise
sampling
scale invariant
scale invariant feature transform
segmentation
segmentation failure
self-filter
semaphore
sensor See also camera
CCD
CMOS
Foveon
separability
separable median
separaility
SERDES
serial peripheral interface
series decomposition
SGLDM
shape matching
signal skew
signal to noise ratio
signed digit
significand
sign-magnitude
SiliconBlue
SIMD architecture
similarity
histogram
simple dual-port See dual-port
Simulink
single stepping
singular value decomposition
sink driven
skeleton
Skipping
smart camera
Sobel filter
software engineering
sorting network
source driven
Spartan
spatial frequency
spatial parallelism See parallelism
SPC
spectral leakage
Speedster
square root
sRGB
SRT division
stack
stack filter
stalling
standalone configuration
StateCAD
static memory
static timing analysis
stereo imaging
Stratix
stream processing
Streams-C
strip-mining
structuring element
sub-threshold leakage
subtraction
successive mean quantisation transform
sum of absolute differences
sum of squared differences
summed area table
super-resolution
support vector machine
surface defect
synchronisation
buffer
signal
video
synchroniser
synchronous
synthesis
System Generator
SystemC
systolic array
Tabula
Taylor series
TCP/IP
template matching
temporal parallelism See parallelism
test bench
texture
threshold decomposition
threshold selection
thresholding
adaptive
colour See colour
multi-level
timing closure
timing constraints
token passing
training
Transmogrifier C
transpose buffer
transpose structure
tree
trigonometric functions
triple buffering
Tukey window
tuning
twiddle factors
two's complement
USB
vector median
vectoring mode
Verilog
vertical blanking
VERTIPH
VGA resolution
VHDL
video coding See coding
video timing
vignetting
Virtex
VLIW architecture
von Neumann bottleneck
voxel
vVHDL
Wallace adder tree
warping
watershed
wavelet
analysis
filters
synthesis
transform
web interface
Weiszfeld's algorithm
Wiener filter
Xilinx
YCbCr
YIQ
YUV
ZBT memory
zero-crossing
zooming